Jump to content
  • 0

Cora Z7-10 and Vivado 2018.2 (2)


radug

Question

Hello guys,

The good news is I continued my experiments with CoraZ7-10 and Vivado (2017 + 2018). Unfortunately I stumbled upon some errors and things I don't really comprehend... 

I'm using the same tutorial (https://reference.digilentinc.com/vivado/getting-started-with-ipi/start).

I modified the constraints file Cora-Z7-10-Master.xdc to allow access to leds and buttons like shown below:

image.png.7ce58ca8721b49e6613e3306d7f1173f.png

My design looks like this (I followed your tutorial):

image.thumb.png.d8269d6b862e6e1851bc959020308e50.png 

1) Trying to create the bitstream I receive first two warnings: 

error: PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 has negative value -0.009 . PS DDR interfaces might fail when entering negative DQS skew values

error: PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 has negative value -0.033 . PS DDR interfaces might fail when entering negative DQS skew values

2) And then two errors:

[DRC NSTD-1] Unspecified I/O Standard: 6 out of 138 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value...

[DRC UCIO-1] Unconstrained Logical Port: 6 out of 138 logical ports have no user assigned specific location constraint (LOC)...

Both are related to rgb_leds_tri_o[5:0].

Inspecting the Bus properties, I noticed:

image.png.1d82ed9addd12966249e1e0e413a21f4.png

Which has nothing to do with the way , the signals are defined in the constraints file (led0_b, etc.) or with the actual PACKAGE_PIN defined in part0_pins.xml.

How  can I make the correct connections? What am I overlooking now?

PS I tried what is suggested here https://www.xilinx.com/support/answers/56354.html but, in this case, the application created with the SDK (see next point) does not work.  

3) Launch SDK

First I noticed here that if one generates the main.c file in /Src directory (as shown in the tutorial) the application cannot be compiled:

image.thumb.png.e853ca0f05c2df9bce76eeb4f14deacd.png 

The solution is to move the main.c file to the application directory (cora_z7_test). Then the application can be successfully compiled.

But the application does not work - I suppose - because the pins/ports are mapped incorrectly (see point 2).

Best regards,

Radu G.

Link to comment
Share on other sites

12 answers to this question

Recommended Posts

Hi @radug,

In the block design it looks like you are using the board file connections for the buttons and rgb leds. These connections will be constrain by the board files and do not need to be constrained using an xdc. Remove your xdc and try generating a bitstream again. The delay warning are not an issue.  I believe your SDK issues stem from your errors in Vivado.

thank you,

Jon

Link to comment
Share on other sites

Thank you, Jon!

I removed the constraints file and I can generate bitstream without errors. But I'm confused what's the point in having the Cora-Z7-10-Master.xdc file... 

The application still does not blink the leds but it's a step forward.

Regards,

Radu G.

Link to comment
Share on other sites

I'm taking it back, Jon! Still not working ?

I had added 

set_property SEVERITY {Warning} [get_drc_checks NSTD-1]
set_property SEVERITY {Warning} [get_drc_checks UCIO-1
]

to the tcl.pre script before and I forgot to remove them... 

Regards,

Radu G.

 

Link to comment
Share on other sites

Hi,

>> Which has nothing to do with the way , the signals are defined in the constraints file (led0_b, etc.)

Yes: if I compare the constraints file with your "IO Bus port properties" screenshot, the names don't match. Also, the locations are different (because Vivado has auto-assigned them, thus the warning).

I'd try to use 

... get_ports{rgb_leds_tri_o[0]}

in the constraints file instead of e.g. led0_b.

This may be not the "proper" way to use the example so best make a backup first.

Link to comment
Share on other sites

Thank you, X!

| get_ports{rgb_leds_tri_o[0]}

You mean In the Cora-Z7-10-Master.xdc file, right?   

I tried earlier to modify the IO Bus properties (LVCMOS33 & PACKAGE_PIN) but - to my surprise - the values are not completely saved into the *.xcd file (the PACKAGE_PIN info is not written!).

Am I the first one who tries to use this nice board (Cora Z7 - 10) ?

Regards,

Radu G.

 

Link to comment
Share on other sites

IT'S BLINKING! Which means, the workaround works!

One interesting thing is that for buttons (D19, D20) it works with the original definitions from the *.xcd file, so I did not have to change: 

btn[0] to btns_2bits_tri_i[0]

Thank you, X!

 

Link to comment
Share on other sites

Hi @radug,

Our content team fixed the boards files issue. There was a typo on line 663 in the board.xml file. There are 2 ways to fix the boards files. 1st way is to re-download the board files and extract to the same location you originally did. 2nd way is to open the board.xml file( in the board files folder) in a text editor, and do a find-and-replace to sap "reg_leds" with "rgb_leds".

thank you,

Jon

Link to comment
Share on other sites

Hi @radug,

We found more issues in the Cora-Z7-10 board files that will be fixed soon. The best way to fix the board files is to re-download the board files and extract them to the same location you originally did. I will post here when the board files are fixed and ready to be used.

thank you,

Jon

Update:  Feel free to download the Cora-Z7-10 board files, they are fixed.

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...