Jump to content
  • 0

Board file (XML) and Constraints file (XDC) in Vivado


Twoism

Question

Hi,
I'm not able to fully understand the relation between the Board file and the Constraints file in Vivado. In my design I need to connect a custom IP block to a Pmod connector on a ZYBO board. I've loaded the XML board file provided by Digilent but now I'm not anymore able to customize the pins as i would do with a constraint file since it seem to me that the mapping it is now specified in the XML file.

# Pmod connector JB
set_property PACKAGE_PIN T20 [get_ports {d_out[0]}]
set_property PACKAGE_PIN U20 [get_ports {d_out[1]}]
set_property PACKAGE_PIN V20 [get_ports {d_out[2]}]
set_property PACKAGE_PIN W20 [get_ports {d_out[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {d_out[*]}]

Should I need to add a constraint file even if the Board port mapping is already specified by the board file? Is this a good practice?

Thanks
 

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...