Jump to content
  • 0

Basys3 & Pmods as XADC


Ali Kucur

Question

Hi

I'm trying to build a project using a Basys3 board and i need 6 different ADCs. As i know there are only 4 ADCs in "Pmod XDAC". Is it possible to use some of the pins of "Pmod JA, JB or JC" as XADC without using any auxiliary cards (analog mux card etc.)?

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...