Jump to content
  • 0

Want to use axi iic with a zybo board but have doubts about the implementation


Luis David Anchia

Question

5 answers to this question

Recommended Posts

Hi @Luis David Anchia,

According to the specification you attached up to 4 MLX90393 could be accessed on one IIC AXI interface. The MLX90393 has two input pins, A0 and A1 that allow one to specify part of the IIC address by tying the pins to either GND or VDD.  

 

Link to comment
Share on other sites

h i

@jpeyron,

@TrapperBob,

after creating each IIC ip core for each MLX90393, made signals to external. These signals hardwired to Pmods of Zybo Z7010 board with each INT of MLX90393. My question is this,

1. How can we connect clock signal of zybo, and interrupts to all MLX90393 chips.

2. after creating block design, and bit stream, a single sensor chip program is enough for all MLX90393?? because each MLX90393 is drived with 3 different signals of  a Pmod.

For example, In Zybo z7 JB01, JB02, and JB03 of JB Pmod are conneted to the SCL, SDA and INT of MLX90393 respectively.

and JB04, JB07 and JB08  are connected to SCL, SDA and INT of another MLX90393, vice versa.

3. I have created .XDC file. is this correct way to define package pins of Zybo in that contraints?

4. How can we see the results in a terminal for each sensor

Note:: MLX90393 chips have same hard coded ID( ie, several MLX90393 have same address of I2C  with each has its own SCL, SDA and INT)

1091581335_AXIIICtoZybotoMLX90393.thumb.png.8f9a6c80eab5b71957c04a717359b725.pngXDC.thumb.png.9d3119c6411ba5b094482f01bf3cd4d4.png

Link to comment
Share on other sites

Hi @Chilakala Ansar,

I have attached a screen shot of how the block design and xdc should look with the 3 sensors along with how to set up interrupts. I would suggest to start with one sensor getting it working  in sdk. Once you get the one sensor working it should not be that difficult to get the other two working. 

thank you,

Jon

 

zybo_iic_3.jpg

zybo_iic_2.jpg

zybo_iic_1.jpg

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...