Jump to content
  • 0

Nexys4 BSP for EDK needed!!


josan

Question

1 answer to this question

Recommended Posts

I'm afraid we opted to put our focus on generating materials for the Nexys4 that work in Vivado. We don't have an XPS Base system builder package for the Nexys4. The best I can do is give you a link to a project that implements just about all the peripheral you need in an XPS project. You should be able to make modifications as needed for your application. You can download it here:

https://www.dropbox.com/s/l3knldiqya1hw1k/Nexys4_EDK_base_system_cache.zip?dl=0

I'd urge you to give Vivado a shot if you can... Vivado IP Integrator is a far superior tool for implementing Microblaze designs compared to XPS. Also Vivado IP Integrator and Microblaze are free with the webpack version of Vivado. If you want to give it a shot, there is a tutorial that can get you started here:

https://reference.digilentinc.com/nexys:nexys4:gsmbs

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...