Jump to content

JColvin

Administrators
  • Posts

    6,650
  • Joined

  • Last visited

Status Replies posted by JColvin

  1. Hi, i seek a board based on virtex 6 FPGA and containing at less four analog inputs. can you advice me

    1. JColvin

      JColvin

      Hi moez,

      I have reposted your question here in the FPGA section of the forum.

      Thanks,
      JColvin

  2. Hi JColvin,

    I'm trying to use a Teensy to communicate with the PmodIA to retrieve impedance values. I found your example code online, but I'm running into errors during the calibration step - it seems to enter the FrequencySweep, but gets caught during the loop. We noticed in one of your posts that you said you had to make a small edit to the code to make it work. Do you have any idea what might be wrong with what we're doing? Please let me know, thanks.

    1. JColvin

      JColvin

      Hi iloveteensy,

      I presume you are referring to this post - and at the time I thought it would be a small change to get everything nicely fixed, but as I was looking into it more I found two problems: one is that there is more than one small change that would need to be fixed. The second is that there is no convenient way to know if you are saturating your ADC on the PmodIA with whatever impedance you're measuring. Consequently, I have not had the time to dedicate towards solving this problem. The library code that I was using is available on our Wiki here (Wiki is down at the time of writing though). On the (potentially) bright side however, the user in this post was able to get the PmodIA working as they expected without using the PmodIA library and just writing directly to it (they also used 3.3V instead of 5V). Other co-workers of mine claim that they were able to get the PmodIA working on their first fry without modifying anything, although I haven't looked into what they did differently than me. If you have any questions, please post them in the Digilent Forum (probably the Add-On Boards section) and I'll do my best to make sure your question gets addressed.

      Thanks,
      JColvin

  3. Hi James,

    I have recently bought a PMODDPOT and an Arty board from digilent. I'm very new to FPGA (Vivado, Xilinx SDK, etc). I'm having troubles understanding how to use the PMOD headers with the Arty board. Till now I've succeeded in controlling the LEDs and Switches (basically the XGPIOs, because it's there in xparameters.h header file), but I can't seem to find a library or c/header file to access the Pmod headers. Can you help me?

    1. JColvin

      JColvin

      Hi vivienc,

      I'm personally not very familiar with FPGAs so I can't help you directly unfortunately, but if you post this in the FPGA forum I can help make sure your question gets answered in a public setting so that anybody else who also has your question can see the answer too.

      Thanks,
      JColvin

×
×
  • Create New...