Jump to content

Raj Kankula

Members
  • Posts

    2
  • Joined

  • Last visited

Raj Kankula's Achievements

Newbie

Newbie (1/4)

0

Reputation

  1. Thanks for the response Jcolvin and Zygot. @zygot: The motivation for wanting to do this change is: I want my arty 35t eval board to interface with a custom IC that has a 1.2V IO interface. By default, I see that the supply voltage for all the IO banks on the Digilent Arty 35t FPGA eval board are connected to 3.3V. I am looking for a way to operate the IO pins of the digilent arty 35t eval board at 1.2V instead of 3.3V. Based on your response, it clearly looks like the best option for me would be to use the Mimias A7 eval board. The supply voltage to IO banks 15 and 16 are adjustable on the Mimias A7 board.
  2. I would like to have an IO voltage at 1.2V instead of 3.3V on a Arty 35t CMOD board. I see from the datasheet that both the HR and HP IO banks can be configured to any voltage between -0.5V and 3.6V. Is there a way to configure one IO bank to operate at 1.2V and other IO banks to operate at 3.3V on a Arty 7 35t eval board? For example, say I want the IO pin U15(bank 14) to output 1.2V signals instead of 3.3V signals, can I do the following changes: 1. Disconnect the VCC3V3 supply voltage of IO bank 14. 2. Apply an external 1.2V on the VCCO_14 node. 3. Configure the IO bank 14 to have LVCMOS12 IO standard. 4. Configure the pin U15 to be an output pin and write a logic '1' on the pin.
×
×
  • Create New...