module instens_module( input clk, input [3:0]din, output reg [3:0] led ); always@(posedge clk) begin led[0] <= din[0]; led[1] <= din[1]; led[2] <= din[2]; led[3] <= din[3]; end endmodule