module check( input clk, input rx, output reg tx, output reg led0 ); always@(posedge clk) begin led0<=rx; end endmodule