start_gui cd D:/Users/paul/Documents/xilinx/Arty-master/Projects/BSD/proj source ./create_project.tcl # if {[info exists ::create_path]} { # set dest_dir $::create_path # } else { # set dest_dir [pwd] # } # puts "INFO: Creating new project in $dest_dir" INFO: Creating new project in D:/Users/paul/Documents/xilinx/Arty-master/Projects/BSD/proj # set proj_name "bsd" # set origin_dir ".." # set orig_proj_dir "[file normalize "$origin_dir/proj"]" # set src_dir $origin_dir/src # set repo_dir $origin_dir/repo # create_project $proj_name $dest_dir INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Software/Xilinx/Vivado/2015.4/data/ip'. # set proj_dir [get_property directory [current_project]] # set obj [get_projects $proj_name] # set_property "default_lib" "xil_defaultlib" $obj # set_property "board_part" "digilentinc.com:arty:part0:1.1" $obj WARNING: [Project 1-153] The current project part 'xc7vx485tffg1157-1' does not match with the 'DIGILENTINC.COM:ARTY:PART0:1.1' board part settings. The project part will be reset to 'DIGILENTINC.COM:ARTY:PART0:1.1' board part. INFO: [Project 1-152] Project part set to artix7 (xc7a35ticsg324-1l) # set_property "simulator_language" "Mixed" $obj # set_property "target_language" "VHDL" $obj # if {[string equal [get_filesets -quiet sources_1] ""]} { # create_fileset -srcset sources_1 # } # if {[string equal [get_filesets -quiet constrs_1] ""]} { # create_fileset -constrset constrs_1 # } # set obj [get_filesets sources_1] # set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj # add_files -quiet $src_dir/hdl # add_files -quiet [glob -nocomplain ../src/ip/*.xci] # add_files -fileset constrs_1 -quiet $src_dir/constraints # update_ip_catalog INFO: [IP_Flow 19-234] Refreshing IP repositories WARNING: [IP_Flow 19-2248] Failed to load user IP repository 'd:/Users/paul/Documents/xilinx/Arty-master/Projects/BSD/repo'; Can't find the specified path. If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. # if {[string equal [get_runs -quiet synth_1] ""]} { # create_run -name synth_1 -board_part "digilentinc.com:arty:part0:1.1" -flow {Vivado Synthesis 2015} -strategy "Flow_PerfOptimized_High" -constrset constrs_1 # } else { # set_property strategy "Flow_PerfOptimized_High" [get_runs synth_1] # set_property flow "Vivado Synthesis 2015" [get_runs synth_1] # } # set obj [get_runs synth_1] # set_property "part" "xc7a35ticsg324-1L" $obj # set_property "steps.synth_design.args.fanout_limit" "400" $obj # set_property "steps.synth_design.args.fsm_extraction" "one_hot" $obj # set_property "steps.synth_design.args.keep_equivalent_registers" "1" $obj # set_property "steps.synth_design.args.resource_sharing" "off" $obj # set_property "steps.synth_design.args.no_lc" "1" $obj # set_property "steps.synth_design.args.shreg_min_size" "5" $obj # current_run -synthesis [get_runs synth_1] # if {[string equal [get_runs -quiet impl_1] ""]} { # create_run -name impl_1 -board_part "digilentinc.com:arty:part0:1.1" -flow {Vivado Implementation 2015} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1 # } else { # set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] # set_property flow "Vivado Implementation 2015" [get_runs impl_1] # } # set obj [get_runs impl_1] # set_property "part" "xc7a35ticsg324-1L" $obj # set_property "steps.write_bitstream.args.bin_file" "1" $obj # current_run -implementation [get_runs impl_1] # puts "INFO: Project created:$proj_name" INFO: Project created:bsd # source $origin_dir/src/bd/system.tcl ## set scripts_vivado_version 2015.3 ## set current_vivado_version [version -short] ## if { [string first $scripts_vivado_version $current_vivado_version] == -1 } { ## puts "" ## puts "ERROR: This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_bd_tcl to create an updated script." ## ## return 1 ## } ERROR: This script was generated using Vivado <2015.3> and is being run in <2015.4.2> of Vivado. Please run the script in Vivado <2015.3> then open the design in Vivado <2015.4.2>. Upgrade the design by running "Tools => Report => Report IP Status...", then run write_bd_tcl to create an updated script. ERROR: [BD 5-229] Please open or create a block design first. ERROR: [Common 17-39] 'get_bd_designs' failed due to earlier errors. while executing "get_bd_designs" invoked from within "set design_name [get_bd_designs]" (file "./create_project.tcl" line 106)