set_property PACKAGE_PIN L16 [get_ports{CLK}] set_property IOSTANDARD LVCMOS33 [get_ports{CLK}] set_property PACKAGE_PIN G15 [get_ports{updown}] set_property IOSTANDARD LVCMOS33 [get_ports{updown}] set_property PACKAGE_PIN M14 [get_ports{Q[0]}] set_property IOSTANDARD LVCMOS33 [get_ports{Q[0]}] set_property PACKAGE_PIN M15 [get_ports{Q[1]}] set_property IOSTANDARD LVCMOS33 [get_ports{Q[1]}] set_property PACKAGE_PIN G14 [get_ports{Q[2]}] set_property IOSTANDARD LVCMOS33 [get_ports{Q[2]}] set_property PACKAGE_PIN D18 [get_ports{Q[3]}] set_property IOSTANDARD LVCMOS33 [get_ports{Q[3]}] set_property PACKAGE_PIN E17 [get_ports{reset}] set_property IOSTANDARD LVCMOS33 [get_ports{reset}]