*** Running vivado with args -log hdmi_in_wrapper.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source hdmi_in_wrapper.tcl ****** Vivado v2016.4 (64-bit) **** SW Build 1756540 on Mon Jan 23 19:11:23 MST 2017 **** IP Build 1755317 on Mon Jan 23 20:30:07 MST 2017 ** Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. Sourcing tcl script 'C:/Users/ar3fin/AppData/Roaming/Xilinx/Vivado/init.tcl' invalid command name "C:/Users/ar3fin/Desktop/vivado/vivado_library_master" while executing ""C:/Users/ar3fin/Desktop/vivado/vivado_library_master"" invoked from within "set_param board.repoPaths ["C:/Users/ar3fin/Desktop/vivado/vivado_library_master"]" (file "C:/Users/ar3fin/AppData/Roaming/Xilinx/Vivado/init.tcl" line 1) source hdmi_in_wrapper.tcl -notrace INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1700] Loaded user IP repository 'c:/Users/ar3fin/Downloads/hdmi_in/repo'. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2016.4/data/ip'. add_files: Time (s): cpu = 00:00:10 ; elapsed = 00:00:23 . Memory (MB): peak = 293.242 ; gain = 78.137 Command: synth_design -top hdmi_in_wrapper -part xc7z010clg400-1 -flatten_hierarchy none -directive RuntimeOptimized -fsm_extraction off Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010' INFO: Launching helper process for spawning children vivado processes INFO: Helper process launched with PID 1760 --------------------------------------------------------------------------------- Starting RTL Elaboration : Time (s): cpu = 00:00:19 ; elapsed = 00:00:43 . Memory (MB): peak = 376.117 ; gain = 167.148 --------------------------------------------------------------------------------- INFO: [Synth 8-638] synthesizing module 'hdmi_in_wrapper' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in_wrapper.vhd:58] INFO: [Synth 8-3491] module 'IOBUF' declared at 'C:/Xilinx/Vivado/2016.4/scripts/rt/data/unisim_comp.v:17333' bound to instance 'ddc_scl_iobuf' of component 'IOBUF' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in_wrapper.vhd:131] INFO: [Synth 8-638] synthesizing module 'IOBUF' [C:/Xilinx/Vivado/2016.4/scripts/rt/data/unisim_comp.v:17333] Parameter DRIVE bound to: 12 - type: integer Parameter IBUF_LOW_PWR bound to: TRUE - type: string Parameter IOSTANDARD bound to: DEFAULT - type: string Parameter SLEW bound to: SLOW - type: string INFO: [Synth 8-256] done synthesizing module 'IOBUF' (1#1) [C:/Xilinx/Vivado/2016.4/scripts/rt/data/unisim_comp.v:17333] INFO: [Synth 8-3491] module 'IOBUF' declared at 'C:/Xilinx/Vivado/2016.4/scripts/rt/data/unisim_comp.v:17333' bound to instance 'ddc_sda_iobuf' of component 'IOBUF' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in_wrapper.vhd:138] INFO: [Synth 8-3491] module 'hdmi_in' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:4601' bound to instance 'hdmi_in_i' of component 'hdmi_in' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in_wrapper.vhd:145] INFO: [Synth 8-638] synthesizing module 'hdmi_in' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:4657] INFO: [Synth 8-3491] module 'hdmi_in_axi_dynclk_0_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_axi_dynclk_0_0_stub.vhdl:5' bound to instance 'axi_dynclk_0' of component 'hdmi_in_axi_dynclk_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5613] INFO: [Synth 8-638] synthesizing module 'hdmi_in_axi_dynclk_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_axi_dynclk_0_0_stub.vhdl:36] INFO: [Synth 8-3491] module 'hdmi_in_axi_gpio_btn_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_axi_gpio_btn_0_stub.vhdl:5' bound to instance 'axi_gpio_btn' of component 'hdmi_in_axi_gpio_btn_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5641] INFO: [Synth 8-638] synthesizing module 'hdmi_in_axi_gpio_btn_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_axi_gpio_btn_0_stub.vhdl:31] INFO: [Synth 8-3491] module 'hdmi_in_axi_gpio_led_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_axi_gpio_led_0_stub.vhdl:5' bound to instance 'axi_gpio_led' of component 'hdmi_in_axi_gpio_led_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5664] INFO: [Synth 8-638] synthesizing module 'hdmi_in_axi_gpio_led_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_axi_gpio_led_0_stub.vhdl:33] INFO: [Synth 8-3491] module 'hdmi_in_axi_gpio_sw_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_axi_gpio_sw_0_stub.vhdl:5' bound to instance 'axi_gpio_sw' of component 'hdmi_in_axi_gpio_sw_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5689] INFO: [Synth 8-638] synthesizing module 'hdmi_in_axi_gpio_sw_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_axi_gpio_sw_0_stub.vhdl:31] INFO: [Synth 8-3491] module 'hdmi_in_axi_gpio_video_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_axi_gpio_video_0_stub.vhdl:5' bound to instance 'axi_gpio_video' of component 'hdmi_in_axi_gpio_video_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5712] INFO: [Synth 8-638] synthesizing module 'hdmi_in_axi_gpio_video_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_axi_gpio_video_0_stub.vhdl:33] INFO: [Synth 8-638] synthesizing module 'hdmi_in_axi_mem_intercon_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:2645] INFO: [Synth 8-638] synthesizing module 'm00_couplers_imp_KM5YEW' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:201] INFO: [Synth 8-3491] module 'hdmi_in_auto_pc_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_auto_pc_0_stub.vhdl:5' bound to instance 'auto_pc' of component 'hdmi_in_auto_pc_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:691] INFO: [Synth 8-638] synthesizing module 'hdmi_in_auto_pc_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_auto_pc_0_stub.vhdl:90] INFO: [Synth 8-3491] module 'hdmi_in_m00_data_fifo_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_m00_data_fifo_0_stub.vhdl:5' bound to instance 'm00_data_fifo' of component 'hdmi_in_m00_data_fifo_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:773] INFO: [Synth 8-638] synthesizing module 'hdmi_in_m00_data_fifo_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_m00_data_fifo_0_stub.vhdl:91] INFO: [Synth 8-3491] module 'hdmi_in_m00_regslice_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_m00_regslice_0_stub.vhdl:5' bound to instance 'm00_regslice' of component 'hdmi_in_m00_regslice_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:856] INFO: [Synth 8-638] synthesizing module 'hdmi_in_m00_regslice_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_m00_regslice_0_stub.vhdl:89] INFO: [Synth 8-256] done synthesizing module 'm00_couplers_imp_KM5YEW' (2#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:201] INFO: [Synth 8-638] synthesizing module 's00_couplers_imp_1L07FMS' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:2013] INFO: [Synth 8-3491] module 'hdmi_in_auto_us_df_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_auto_us_df_0_stub.vhdl:5' bound to instance 'auto_us_df' of component 'hdmi_in_auto_us_df_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:2199] INFO: [Synth 8-638] synthesizing module 'hdmi_in_auto_us_df_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_auto_us_df_0_stub.vhdl:51] INFO: [Synth 8-3491] module 'hdmi_in_s00_regslice_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_s00_regslice_0_stub.vhdl:5' bound to instance 's00_regslice' of component 'hdmi_in_s00_regslice_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:2242] INFO: [Synth 8-638] synthesizing module 'hdmi_in_s00_regslice_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_s00_regslice_0_stub.vhdl:51] INFO: [Synth 8-256] done synthesizing module 's00_couplers_imp_1L07FMS' (3#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:2013] INFO: [Synth 8-638] synthesizing module 's01_couplers_imp_KRH6EF' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:2327] INFO: [Synth 8-3491] module 'hdmi_in_auto_us_df_1' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_auto_us_df_1_stub.vhdl:5' bound to instance 'auto_us_df' of component 'hdmi_in_auto_us_df_1' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:2486] INFO: [Synth 8-638] synthesizing module 'hdmi_in_auto_us_df_1' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_auto_us_df_1_stub.vhdl:45] INFO: [Synth 8-3491] module 'hdmi_in_s01_regslice_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_s01_regslice_0_stub.vhdl:5' bound to instance 's01_regslice' of component 'hdmi_in_s01_regslice_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:2523] INFO: [Synth 8-638] synthesizing module 'hdmi_in_s01_regslice_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_s01_regslice_0_stub.vhdl:45] INFO: [Synth 8-256] done synthesizing module 's01_couplers_imp_KRH6EF' (4#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:2327] INFO: [Synth 8-3491] module 'hdmi_in_xbar_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_xbar_0_stub.vhdl:5' bound to instance 'xbar' of component 'hdmi_in_xbar_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:3122] INFO: [Synth 8-638] synthesizing module 'hdmi_in_xbar_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_xbar_0_stub.vhdl:89] INFO: [Synth 8-256] done synthesizing module 'hdmi_in_axi_mem_intercon_0' (5#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:2645] INFO: [Synth 8-3491] module 'hdmi_in_axi_vdma_0_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_axi_vdma_0_0_stub.vhdl:5' bound to instance 'axi_vdma_0' of component 'hdmi_in_axi_vdma_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5815] INFO: [Synth 8-638] synthesizing module 'hdmi_in_axi_vdma_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_axi_vdma_0_0_stub.vhdl:78] INFO: [Synth 8-3491] module 'hdmi_in_dvi2rgb_0_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_dvi2rgb_0_0_stub.vhdl:5' bound to instance 'dvi2rgb_0' of component 'hdmi_in_dvi2rgb_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5885] INFO: [Synth 8-638] synthesizing module 'hdmi_in_dvi2rgb_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_dvi2rgb_0_0_stub.vhdl:30] INFO: [Synth 8-3491] module 'hdmi_in_proc_sys_reset_0_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_proc_sys_reset_0_0_stub.vhdl:5' bound to instance 'proc_sys_reset_0' of component 'hdmi_in_proc_sys_reset_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5907] INFO: [Synth 8-638] synthesizing module 'hdmi_in_proc_sys_reset_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_proc_sys_reset_0_0_stub.vhdl:21] INFO: [Synth 8-3491] module 'hdmi_in_processing_system7_0_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_processing_system7_0_0_stub.vhdl:5' bound to instance 'processing_system7_0' of component 'hdmi_in_processing_system7_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5920] INFO: [Synth 8-638] synthesizing module 'hdmi_in_processing_system7_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_processing_system7_0_0_stub.vhdl:134] INFO: [Synth 8-638] synthesizing module 'hdmi_in_processing_system7_0_axi_periph_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:3441] INFO: [Synth 8-638] synthesizing module 'm00_couplers_imp_CYQ0EC' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:57] INFO: [Synth 8-256] done synthesizing module 'm00_couplers_imp_CYQ0EC' (6#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:57] INFO: [Synth 8-638] synthesizing module 'm01_couplers_imp_1AXBFT3' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:985] INFO: [Synth 8-256] done synthesizing module 'm01_couplers_imp_1AXBFT3' (7#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:985] INFO: [Synth 8-638] synthesizing module 'm02_couplers_imp_1XIDCG3' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1086] INFO: [Synth 8-256] done synthesizing module 'm02_couplers_imp_1XIDCG3' (8#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1086] INFO: [Synth 8-638] synthesizing module 'm03_couplers_imp_YPZJ7K' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1187] INFO: [Synth 8-256] done synthesizing module 'm03_couplers_imp_YPZJ7K' (9#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1187] INFO: [Synth 8-638] synthesizing module 'm04_couplers_imp_PZVU4R' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1292] INFO: [Synth 8-256] done synthesizing module 'm04_couplers_imp_PZVU4R' (10#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1292] INFO: [Synth 8-638] synthesizing module 'm05_couplers_imp_1OIGGLK' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1397] INFO: [Synth 8-256] done synthesizing module 'm05_couplers_imp_1OIGGLK' (11#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1397] INFO: [Synth 8-638] synthesizing module 'm06_couplers_imp_125YM4S' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1495] INFO: [Synth 8-256] done synthesizing module 'm06_couplers_imp_125YM4S' (12#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1495] INFO: [Synth 8-638] synthesizing module 'm07_couplers_imp_3XK05R' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1596] INFO: [Synth 8-256] done synthesizing module 'm07_couplers_imp_3XK05R' (13#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1596] INFO: [Synth 8-638] synthesizing module 's00_couplers_imp_1B1CMQG' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1720] INFO: [Synth 8-3491] module 'hdmi_in_auto_pc_1' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_auto_pc_1_stub.vhdl:5' bound to instance 'auto_pc' of component 'hdmi_in_auto_pc_1' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1903] INFO: [Synth 8-638] synthesizing module 'hdmi_in_auto_pc_1' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_auto_pc_1_stub.vhdl:70] INFO: [Synth 8-256] done synthesizing module 's00_couplers_imp_1B1CMQG' (14#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1720] INFO: [Synth 8-3491] module 'hdmi_in_xbar_1' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_xbar_1_stub.vhdl:5' bound to instance 'xbar' of component 'hdmi_in_xbar_1' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:4430] INFO: [Synth 8-638] synthesizing module 'hdmi_in_xbar_1' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_xbar_1_stub.vhdl:51] INFO: [Synth 8-256] done synthesizing module 'hdmi_in_processing_system7_0_axi_periph_0' (15#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:3441] INFO: [Synth 8-3491] module 'hdmi_in_rgb2vga_0_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_rgb2vga_0_0_stub.vhdl:5' bound to instance 'rgb2vga_0' of component 'hdmi_in_rgb2vga_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6247] INFO: [Synth 8-638] synthesizing module 'hdmi_in_rgb2vga_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_rgb2vga_0_0_stub.vhdl:21] INFO: [Synth 8-3491] module 'hdmi_in_rst_processing_system7_0_100M_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_rst_processing_system7_0_100M_0_stub.vhdl:5' bound to instance 'rst_processing_system7_0_100M' of component 'hdmi_in_rst_processing_system7_0_100M_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6260] INFO: [Synth 8-638] synthesizing module 'hdmi_in_rst_processing_system7_0_100M_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_rst_processing_system7_0_100M_0_stub.vhdl:21] INFO: [Synth 8-3491] module 'hdmi_in_rst_processing_system7_0_150M_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_rst_processing_system7_0_150M_0_stub.vhdl:5' bound to instance 'rst_processing_system7_0_150M' of component 'hdmi_in_rst_processing_system7_0_150M_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6273] INFO: [Synth 8-638] synthesizing module 'hdmi_in_rst_processing_system7_0_150M_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_rst_processing_system7_0_150M_0_stub.vhdl:21] INFO: [Synth 8-3491] module 'hdmi_in_v_axi4s_vid_out_0_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_v_axi4s_vid_out_0_0_stub.vhdl:5' bound to instance 'v_axi4s_vid_out_0' of component 'hdmi_in_v_axi4s_vid_out_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6286] INFO: [Synth 8-638] synthesizing module 'hdmi_in_v_axi4s_vid_out_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_v_axi4s_vid_out_0_0_stub.vhdl:39] INFO: [Synth 8-3491] module 'hdmi_in_v_tc_0_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_v_tc_0_0_stub.vhdl:5' bound to instance 'v_tc_0' of component 'hdmi_in_v_tc_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6317] INFO: [Synth 8-638] synthesizing module 'hdmi_in_v_tc_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_v_tc_0_0_stub.vhdl:43] INFO: [Synth 8-3491] module 'hdmi_in_v_tc_1_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_v_tc_1_0_stub.vhdl:5' bound to instance 'v_tc_1' of component 'hdmi_in_v_tc_1_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6352] INFO: [Synth 8-638] synthesizing module 'hdmi_in_v_tc_1_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_v_tc_1_0_stub.vhdl:40] INFO: [Synth 8-3491] module 'hdmi_in_v_vid_in_axi4s_0_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_v_vid_in_axi4s_0_0_stub.vhdl:5' bound to instance 'v_vid_in_axi4s_0' of component 'hdmi_in_v_vid_in_axi4s_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6384] INFO: [Synth 8-638] synthesizing module 'hdmi_in_v_vid_in_axi4s_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_v_vid_in_axi4s_0_0_stub.vhdl:39] INFO: [Synth 8-3491] module 'hdmi_in_xlconcat_0_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_xlconcat_0_0_stub.vhdl:5' bound to instance 'xlconcat_0' of component 'hdmi_in_xlconcat_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6415] INFO: [Synth 8-638] synthesizing module 'hdmi_in_xlconcat_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_xlconcat_0_0_stub.vhdl:17] INFO: [Synth 8-3491] module 'hdmi_in_xlconstant_0_0' declared at 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_xlconstant_0_0_stub.vhdl:5' bound to instance 'xlconstant_0' of component 'hdmi_in_xlconstant_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6424] INFO: [Synth 8-638] synthesizing module 'hdmi_in_xlconstant_0_0' [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/realtime/hdmi_in_xlconstant_0_0_stub.vhdl:12] INFO: [Synth 8-256] done synthesizing module 'hdmi_in' (16#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:4657] INFO: [Synth 8-3491] module 'IOBUF' declared at 'C:/Xilinx/Vivado/2016.4/scripts/rt/data/unisim_comp.v:17333' bound to instance 'iic_0_scl_iobuf' of component 'IOBUF' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in_wrapper.vhd:195] INFO: [Synth 8-3491] module 'IOBUF' declared at 'C:/Xilinx/Vivado/2016.4/scripts/rt/data/unisim_comp.v:17333' bound to instance 'iic_0_sda_iobuf' of component 'IOBUF' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in_wrapper.vhd:202] INFO: [Synth 8-256] done synthesizing module 'hdmi_in_wrapper' (17#1) [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in_wrapper.vhd:58] WARNING: [Synth 8-3331] design s00_couplers_imp_1B1CMQG has unconnected port M_ACLK WARNING: [Synth 8-3331] design s00_couplers_imp_1B1CMQG has unconnected port M_ARESETN WARNING: [Synth 8-3331] design m07_couplers_imp_3XK05R has unconnected port M_ACLK WARNING: [Synth 8-3331] design m07_couplers_imp_3XK05R has unconnected port M_ARESETN WARNING: [Synth 8-3331] design m07_couplers_imp_3XK05R has unconnected port S_ACLK WARNING: [Synth 8-3331] design m07_couplers_imp_3XK05R has unconnected port S_ARESETN WARNING: [Synth 8-3331] design m06_couplers_imp_125YM4S has unconnected port M_ACLK WARNING: [Synth 8-3331] design m06_couplers_imp_125YM4S has unconnected port M_ARESETN WARNING: [Synth 8-3331] design m06_couplers_imp_125YM4S has unconnected port S_ACLK WARNING: [Synth 8-3331] design m06_couplers_imp_125YM4S has unconnected port S_ARESETN WARNING: [Synth 8-3331] design m05_couplers_imp_1OIGGLK has unconnected port M_ACLK WARNING: [Synth 8-3331] design m05_couplers_imp_1OIGGLK has unconnected port M_ARESETN WARNING: [Synth 8-3331] design m05_couplers_imp_1OIGGLK has unconnected port S_ACLK WARNING: [Synth 8-3331] design m05_couplers_imp_1OIGGLK has unconnected port S_ARESETN WARNING: [Synth 8-3331] design m04_couplers_imp_PZVU4R has unconnected port M_ACLK WARNING: [Synth 8-3331] design m04_couplers_imp_PZVU4R has unconnected port M_ARESETN WARNING: [Synth 8-3331] design m04_couplers_imp_PZVU4R has unconnected port S_ACLK WARNING: [Synth 8-3331] design m04_couplers_imp_PZVU4R has unconnected port S_ARESETN WARNING: [Synth 8-3331] design m03_couplers_imp_YPZJ7K has unconnected port M_ACLK WARNING: [Synth 8-3331] design m03_couplers_imp_YPZJ7K has unconnected port M_ARESETN WARNING: [Synth 8-3331] design m03_couplers_imp_YPZJ7K has unconnected port S_ACLK WARNING: [Synth 8-3331] design m03_couplers_imp_YPZJ7K has unconnected port S_ARESETN WARNING: [Synth 8-3331] design m02_couplers_imp_1XIDCG3 has unconnected port M_ACLK WARNING: [Synth 8-3331] design m02_couplers_imp_1XIDCG3 has unconnected port M_ARESETN WARNING: [Synth 8-3331] design m02_couplers_imp_1XIDCG3 has unconnected port S_ACLK WARNING: [Synth 8-3331] design m02_couplers_imp_1XIDCG3 has unconnected port S_ARESETN WARNING: [Synth 8-3331] design m01_couplers_imp_1AXBFT3 has unconnected port M_ACLK WARNING: [Synth 8-3331] design m01_couplers_imp_1AXBFT3 has unconnected port M_ARESETN WARNING: [Synth 8-3331] design m01_couplers_imp_1AXBFT3 has unconnected port S_ACLK WARNING: [Synth 8-3331] design m01_couplers_imp_1AXBFT3 has unconnected port S_ARESETN WARNING: [Synth 8-3331] design m00_couplers_imp_CYQ0EC has unconnected port M_ACLK WARNING: [Synth 8-3331] design m00_couplers_imp_CYQ0EC has unconnected port M_ARESETN WARNING: [Synth 8-3331] design m00_couplers_imp_CYQ0EC has unconnected port S_ACLK WARNING: [Synth 8-3331] design m00_couplers_imp_CYQ0EC has unconnected port S_ARESETN WARNING: [Synth 8-3331] design s01_couplers_imp_KRH6EF has unconnected port M_ACLK WARNING: [Synth 8-3331] design s01_couplers_imp_KRH6EF has unconnected port M_ARESETN WARNING: [Synth 8-3331] design s00_couplers_imp_1L07FMS has unconnected port M_ACLK WARNING: [Synth 8-3331] design s00_couplers_imp_1L07FMS has unconnected port M_ARESETN WARNING: [Synth 8-3331] design m00_couplers_imp_KM5YEW has unconnected port M_AXI_bid[5] WARNING: [Synth 8-3331] design m00_couplers_imp_KM5YEW has unconnected port M_AXI_bid[4] WARNING: [Synth 8-3331] design m00_couplers_imp_KM5YEW has unconnected port M_AXI_bid[3] WARNING: [Synth 8-3331] design m00_couplers_imp_KM5YEW has unconnected port M_AXI_bid[2] WARNING: [Synth 8-3331] design m00_couplers_imp_KM5YEW has unconnected port M_AXI_bid[1] WARNING: [Synth 8-3331] design m00_couplers_imp_KM5YEW has unconnected port M_AXI_rid[5] WARNING: [Synth 8-3331] design m00_couplers_imp_KM5YEW has unconnected port M_AXI_rid[4] WARNING: [Synth 8-3331] design m00_couplers_imp_KM5YEW has unconnected port M_AXI_rid[3] WARNING: [Synth 8-3331] design m00_couplers_imp_KM5YEW has unconnected port M_AXI_rid[2] WARNING: [Synth 8-3331] design m00_couplers_imp_KM5YEW has unconnected port M_AXI_rid[1] --------------------------------------------------------------------------------- Finished RTL Elaboration : Time (s): cpu = 00:00:21 ; elapsed = 00:00:46 . Memory (MB): peak = 420.242 ; gain = 211.273 --------------------------------------------------------------------------------- Report Check Netlist: +------+------------------+-------+---------+-------+------------------+ | |Item |Errors |Warnings |Status |Description | +------+------------------+-------+---------+-------+------------------+ |1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | +------+------------------+-------+---------+-------+------------------+ --------------------------------------------------------------------------------- Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:21 ; elapsed = 00:00:47 . Memory (MB): peak = 420.242 ; gain = 211.273 --------------------------------------------------------------------------------- WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_auto_pc_0' instantiated as 'hdmi_in_i/axi_mem_intercon/m00_couplers/auto_pc' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:691] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_auto_pc_1' instantiated as 'hdmi_in_i/processing_system7_0_axi_periph/s00_couplers/auto_pc' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:1903] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_auto_us_df_0' instantiated as 'hdmi_in_i/axi_mem_intercon/s00_couplers/auto_us_df' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:2199] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_auto_us_df_1' instantiated as 'hdmi_in_i/axi_mem_intercon/s01_couplers/auto_us_df' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:2486] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_axi_dynclk_0_0' instantiated as 'hdmi_in_i/axi_dynclk_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5613] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_axi_gpio_btn_0' instantiated as 'hdmi_in_i/axi_gpio_btn' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5641] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_axi_gpio_led_0' instantiated as 'hdmi_in_i/axi_gpio_led' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5664] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_axi_gpio_sw_0' instantiated as 'hdmi_in_i/axi_gpio_sw' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5689] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_axi_gpio_video_0' instantiated as 'hdmi_in_i/axi_gpio_video' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5712] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_axi_vdma_0_0' instantiated as 'hdmi_in_i/axi_vdma_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5815] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_dvi2rgb_0_0' instantiated as 'hdmi_in_i/dvi2rgb_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5885] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_m00_data_fifo_0' instantiated as 'hdmi_in_i/axi_mem_intercon/m00_couplers/m00_data_fifo' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:773] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_m00_regslice_0' instantiated as 'hdmi_in_i/axi_mem_intercon/m00_couplers/m00_regslice' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:856] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_proc_sys_reset_0_0' instantiated as 'hdmi_in_i/proc_sys_reset_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5907] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_processing_system7_0_0' instantiated as 'hdmi_in_i/processing_system7_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:5920] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_rgb2vga_0_0' instantiated as 'hdmi_in_i/rgb2vga_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6247] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_rst_processing_system7_0_100M_0' instantiated as 'hdmi_in_i/rst_processing_system7_0_100M' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6260] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_rst_processing_system7_0_150M_0' instantiated as 'hdmi_in_i/rst_processing_system7_0_150M' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6273] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_s00_regslice_0' instantiated as 'hdmi_in_i/axi_mem_intercon/s00_couplers/s00_regslice' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:2242] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_s01_regslice_0' instantiated as 'hdmi_in_i/axi_mem_intercon/s01_couplers/s01_regslice' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:2523] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_v_axi4s_vid_out_0_0' instantiated as 'hdmi_in_i/v_axi4s_vid_out_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6286] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_v_tc_0_0' instantiated as 'hdmi_in_i/v_tc_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6317] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_v_tc_1_0' instantiated as 'hdmi_in_i/v_tc_1' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6352] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_v_vid_in_axi4s_0_0' instantiated as 'hdmi_in_i/v_vid_in_axi4s_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6384] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_xbar_0' instantiated as 'hdmi_in_i/axi_mem_intercon/xbar' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:3122] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_xbar_1' instantiated as 'hdmi_in_i/processing_system7_0_axi_periph/xbar' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:4430] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_xlconcat_0_0' instantiated as 'hdmi_in_i/xlconcat_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6415] WARNING: [Project 1-486] Could not resolve non-primitive black box cell 'hdmi_in_xlconstant_0_0' instantiated as 'hdmi_in_i/xlconstant_0' [C:/Users/ar3fin/Downloads/hdmi_in/src/bd/hdmi_in/hdl/hdmi_in.vhd:6424] INFO: [Netlist 29-17] Analyzing 4 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Device 21-403] Loading part xc7z010clg400-1 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints Initializing timing engine Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp/hdmi_in_axi_dynclk_0_0_in_context.xdc] for cell 'hdmi_in_i/axi_dynclk_0' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp/hdmi_in_axi_dynclk_0_0_in_context.xdc] for cell 'hdmi_in_i/axi_dynclk_0' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_2/hdmi_in_axi_gpio_btn_0_in_context.xdc] for cell 'hdmi_in_i/axi_gpio_btn' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_2/hdmi_in_axi_gpio_btn_0_in_context.xdc] for cell 'hdmi_in_i/axi_gpio_btn' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_3/hdmi_in_axi_gpio_led_0_in_context.xdc] for cell 'hdmi_in_i/axi_gpio_led' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_3/hdmi_in_axi_gpio_led_0_in_context.xdc] for cell 'hdmi_in_i/axi_gpio_led' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_4/hdmi_in_axi_gpio_sw_0_in_context.xdc] for cell 'hdmi_in_i/axi_gpio_sw' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_4/hdmi_in_axi_gpio_sw_0_in_context.xdc] for cell 'hdmi_in_i/axi_gpio_sw' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_5/hdmi_in_axi_gpio_video_0_in_context.xdc] for cell 'hdmi_in_i/axi_gpio_video' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_5/hdmi_in_axi_gpio_video_0_in_context.xdc] for cell 'hdmi_in_i/axi_gpio_video' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_6/hdmi_in_axi_vdma_0_0_in_context.xdc] for cell 'hdmi_in_i/axi_vdma_0' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_6/hdmi_in_axi_vdma_0_0_in_context.xdc] for cell 'hdmi_in_i/axi_vdma_0' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc] for cell 'hdmi_in_i/dvi2rgb_0' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc] for cell 'hdmi_in_i/dvi2rgb_0' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_8/hdmi_in_proc_sys_reset_0_0_in_context.xdc] for cell 'hdmi_in_i/proc_sys_reset_0' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_8/hdmi_in_proc_sys_reset_0_0_in_context.xdc] for cell 'hdmi_in_i/proc_sys_reset_0' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc] for cell 'hdmi_in_i/processing_system7_0' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc] for cell 'hdmi_in_i/processing_system7_0' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_10/hdmi_in_rgb2vga_0_0_in_context.xdc] for cell 'hdmi_in_i/rgb2vga_0' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_10/hdmi_in_rgb2vga_0_0_in_context.xdc] for cell 'hdmi_in_i/rgb2vga_0' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_11/hdmi_in_proc_sys_reset_0_0_in_context.xdc] for cell 'hdmi_in_i/rst_processing_system7_0_100M' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_11/hdmi_in_proc_sys_reset_0_0_in_context.xdc] for cell 'hdmi_in_i/rst_processing_system7_0_100M' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_12/hdmi_in_proc_sys_reset_0_0_in_context.xdc] for cell 'hdmi_in_i/rst_processing_system7_0_150M' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_12/hdmi_in_proc_sys_reset_0_0_in_context.xdc] for cell 'hdmi_in_i/rst_processing_system7_0_150M' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_13/hdmi_in_v_axi4s_vid_out_0_0_in_context.xdc] for cell 'hdmi_in_i/v_axi4s_vid_out_0' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_13/hdmi_in_v_axi4s_vid_out_0_0_in_context.xdc] for cell 'hdmi_in_i/v_axi4s_vid_out_0' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_14/hdmi_in_v_tc_0_0_in_context.xdc] for cell 'hdmi_in_i/v_tc_0' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_14/hdmi_in_v_tc_0_0_in_context.xdc] for cell 'hdmi_in_i/v_tc_0' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_15/hdmi_in_v_tc_1_0_in_context.xdc] for cell 'hdmi_in_i/v_tc_1' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_15/hdmi_in_v_tc_1_0_in_context.xdc] for cell 'hdmi_in_i/v_tc_1' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_16/hdmi_in_v_vid_in_axi4s_0_0_in_context.xdc] for cell 'hdmi_in_i/v_vid_in_axi4s_0' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_16/hdmi_in_v_vid_in_axi4s_0_0_in_context.xdc] for cell 'hdmi_in_i/v_vid_in_axi4s_0' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_17/hdmi_in_xlconcat_0_0_in_context.xdc] for cell 'hdmi_in_i/xlconcat_0' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_17/hdmi_in_xlconcat_0_0_in_context.xdc] for cell 'hdmi_in_i/xlconcat_0' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_18/hdmi_in_xlconstant_0_0_in_context.xdc] for cell 'hdmi_in_i/xlconstant_0' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_18/hdmi_in_xlconstant_0_0_in_context.xdc] for cell 'hdmi_in_i/xlconstant_0' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_19/hdmi_in_xbar_0_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/xbar' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_19/hdmi_in_xbar_0_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/xbar' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_20/hdmi_in_xbar_1_in_context.xdc] for cell 'hdmi_in_i/processing_system7_0_axi_periph/xbar' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_20/hdmi_in_xbar_1_in_context.xdc] for cell 'hdmi_in_i/processing_system7_0_axi_periph/xbar' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_21/hdmi_in_s00_regslice_0_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/s00_couplers/s00_regslice' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_21/hdmi_in_s00_regslice_0_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/s00_couplers/s00_regslice' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_22/hdmi_in_s01_regslice_0_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/s01_couplers/s01_regslice' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_22/hdmi_in_s01_regslice_0_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/s01_couplers/s01_regslice' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_23/hdmi_in_m00_data_fifo_0_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/m00_couplers/m00_data_fifo' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_23/hdmi_in_m00_data_fifo_0_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/m00_couplers/m00_data_fifo' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_24/hdmi_in_m00_regslice_0_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/m00_couplers/m00_regslice' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_24/hdmi_in_m00_regslice_0_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/m00_couplers/m00_regslice' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_25/hdmi_in_auto_pc_0_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/m00_couplers/auto_pc' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_25/hdmi_in_auto_pc_0_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/m00_couplers/auto_pc' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_26/hdmi_in_auto_us_df_0_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/s00_couplers/auto_us_df' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_26/hdmi_in_auto_us_df_0_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/s00_couplers/auto_us_df' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_27/hdmi_in_auto_us_df_1_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/s01_couplers/auto_us_df' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_27/hdmi_in_auto_us_df_1_in_context.xdc] for cell 'hdmi_in_i/axi_mem_intercon/s01_couplers/auto_us_df' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_28/hdmi_in_auto_pc_1_in_context.xdc] for cell 'hdmi_in_i/processing_system7_0_axi_periph/s00_couplers/auto_pc' Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_28/hdmi_in_auto_pc_1_in_context.xdc] for cell 'hdmi_in_i/processing_system7_0_axi_periph/s00_couplers/auto_pc' Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/src/constraints/ZYBO_Master.xdc] Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/src/constraints/ZYBO_Master.xdc] INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/ar3fin/Downloads/hdmi_in/src/constraints/ZYBO_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/hdmi_in_wrapper_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/hdmi_in_wrapper_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/dont_touch.xdc] Finished Parsing XDC File [C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/dont_touch.xdc] Completed Processing XDC Constraints INFO: [Project 1-111] Unisim Transformation Summary: A total of 4 instances were transformed. IOBUF => IOBUF (IBUF, OBUFT): 4 instances Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.045 . Memory (MB): peak = 714.266 ; gain = 0.000 WARNING: [Timing 38-316] Clock period '10.000' specified during out-of-context synthesis of instance 'hdmi_in_i/axi_vdma_0' at clock pin 'm_axi_mm2s_aclk' is different from the actual clock period '7.000', this can lead to different synthesis results. WARNING: [Timing 38-316] Clock period '10.000' specified during out-of-context synthesis of instance 'hdmi_in_i/proc_sys_reset_0' at clock pin 'slowest_sync_clk' is different from the actual clock period '6.060', this can lead to different synthesis results. WARNING: [Timing 38-316] Clock period '10.000' specified during out-of-context synthesis of instance 'hdmi_in_i/rst_processing_system7_0_150M' at clock pin 'slowest_sync_clk' is different from the actual clock period '7.000', this can lead to different synthesis results. WARNING: [Timing 38-316] Clock period '10.000' specified during out-of-context synthesis of instance 'hdmi_in_i/v_tc_1' at clock pin 'clk' is different from the actual clock period '6.060', this can lead to different synthesis results. WARNING: [Timing 38-316] Clock period '10.000' specified during out-of-context synthesis of instance 'hdmi_in_i/v_vid_in_axi4s_0' at clock pin 'vid_io_in_clk' is different from the actual clock period '6.060', this can lead to different synthesis results. --------------------------------------------------------------------------------- Finished Constraint Validation : Time (s): cpu = 00:00:37 ; elapsed = 00:01:20 . Memory (MB): peak = 714.266 ; gain = 505.297 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7z010clg400-1 --------------------------------------------------------------------------------- Finished Loading Part and Timing Information : Time (s): cpu = 00:00:37 ; elapsed = 00:01:20 . Memory (MB): peak = 714.266 ; gain = 505.297 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- Applied set_property IO_BUFFER_TYPE = NONE for TMDS_clk_n. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 2). Applied set_property CLOCK_BUFFER_TYPE = NONE for TMDS_clk_n. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 3). Applied set_property IO_BUFFER_TYPE = NONE for TMDS_clk_p. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 4). Applied set_property CLOCK_BUFFER_TYPE = NONE for TMDS_clk_p. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 5). Applied set_property IO_BUFFER_TYPE = NONE for TMDS_data_n[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 6). Applied set_property CLOCK_BUFFER_TYPE = NONE for TMDS_data_n[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 7). Applied set_property IO_BUFFER_TYPE = NONE for TMDS_data_n[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 8). Applied set_property CLOCK_BUFFER_TYPE = NONE for TMDS_data_n[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 9). Applied set_property IO_BUFFER_TYPE = NONE for TMDS_data_n[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 10). Applied set_property CLOCK_BUFFER_TYPE = NONE for TMDS_data_n[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 11). Applied set_property IO_BUFFER_TYPE = NONE for TMDS_data_p[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 12). Applied set_property CLOCK_BUFFER_TYPE = NONE for TMDS_data_p[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 13). Applied set_property IO_BUFFER_TYPE = NONE for TMDS_data_p[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 14). Applied set_property CLOCK_BUFFER_TYPE = NONE for TMDS_data_p[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 15). Applied set_property IO_BUFFER_TYPE = NONE for TMDS_data_p[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 16). Applied set_property CLOCK_BUFFER_TYPE = NONE for TMDS_data_p[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_7/hdmi_in_dvi2rgb_0_0_in_context.xdc, line 17). Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 4). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 5). Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[10]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 6). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[10]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 7). Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[11]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 8). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[11]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 9). Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[12]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 10). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[12]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 11). Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[13]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 12). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[13]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 13). Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[14]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 14). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[14]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 15). Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 16). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 17). Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 18). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 19). Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[3]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 20). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[3]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 21). Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[4]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 22). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[4]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 23). Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[5]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 24). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[5]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 25). Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[6]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 26). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[6]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 27). Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[7]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 28). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[7]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 29). Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[8]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 30). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[8]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 31). Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[9]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 32). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[9]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 33). Applied set_property IO_BUFFER_TYPE = NONE for DDR_ba[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 34). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ba[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 35). Applied set_property IO_BUFFER_TYPE = NONE for DDR_ba[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 36). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ba[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 37). Applied set_property IO_BUFFER_TYPE = NONE for DDR_ba[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 38). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ba[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 39). Applied set_property IO_BUFFER_TYPE = NONE for DDR_cas_n. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 40). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_cas_n. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 41). Applied set_property IO_BUFFER_TYPE = NONE for DDR_cke. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 42). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_cke. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 43). Applied set_property IO_BUFFER_TYPE = NONE for DDR_cs_n. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 44). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_cs_n. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 45). Applied set_property IO_BUFFER_TYPE = NONE for DDR_ck_p. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 46). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ck_p. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 47). Applied set_property IO_BUFFER_TYPE = NONE for DDR_ck_n. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 48). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ck_n. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 49). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dm[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 50). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dm[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 51). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dm[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 52). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dm[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 53). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dm[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 54). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dm[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 55). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dm[3]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 56). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dm[3]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 57). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_p[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 58). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_p[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 59). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_p[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 60). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_p[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 61). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_p[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 62). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_p[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 63). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_p[3]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 64). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_p[3]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 65). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_n[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 66). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_n[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 67). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_n[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 68). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_n[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 69). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_n[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 70). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_n[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 71). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_n[3]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 72). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_n[3]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 73). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 74). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 75). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[10]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 76). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[10]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 77). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[11]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 78). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[11]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 79). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[12]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 80). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[12]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 81). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[13]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 82). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[13]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 83). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[14]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 84). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[14]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 85). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[15]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 86). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[15]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 87). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[16]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 88). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[16]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 89). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[17]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 90). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[17]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 91). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[18]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 92). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[18]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 93). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[19]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 94). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[19]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 95). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 96). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 97). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[20]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 98). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[20]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 99). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[21]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 100). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[21]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 101). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[22]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 102). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[22]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 103). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[23]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 104). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[23]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 105). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[24]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 106). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[24]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 107). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[25]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 108). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[25]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 109). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[26]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 110). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[26]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 111). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[27]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 112). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[27]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 113). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[28]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 114). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[28]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 115). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[29]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 116). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[29]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 117). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 118). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 119). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[30]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 120). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[30]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 121). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[31]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 122). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[31]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 123). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[3]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 124). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[3]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 125). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[4]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 126). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[4]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 127). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[5]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 128). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[5]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 129). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[6]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 130). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[6]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 131). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[7]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 132). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[7]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 133). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[8]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 134). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[8]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 135). Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[9]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 136). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[9]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 137). Applied set_property IO_BUFFER_TYPE = NONE for DDR_reset_n. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 138). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_reset_n. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 139). Applied set_property IO_BUFFER_TYPE = NONE for DDR_odt. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 140). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_odt. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 141). Applied set_property IO_BUFFER_TYPE = NONE for DDR_ras_n. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 142). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ras_n. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 143). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_ddr_vrn. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 144). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_ddr_vrn. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 145). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_ddr_vrp. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 146). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_ddr_vrp. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 147). Applied set_property IO_BUFFER_TYPE = NONE for DDR_we_n. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 148). Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_we_n. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 149). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 150). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[0]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 151). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[10]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 152). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[10]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 153). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[11]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 154). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[11]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 155). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[12]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 156). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[12]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 157). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[13]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 158). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[13]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 159). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[14]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 160). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[14]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 161). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[15]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 162). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[15]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 163). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[16]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 164). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[16]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 165). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[17]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 166). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[17]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 167). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[18]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 168). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[18]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 169). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[19]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 170). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[19]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 171). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 172). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[1]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 173). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[20]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 174). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[20]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 175). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[21]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 176). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[21]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 177). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[22]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 178). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[22]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 179). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[23]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 180). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[23]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 181). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[24]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 182). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[24]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 183). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[25]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 184). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[25]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 185). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[26]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 186). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[26]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 187). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[27]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 188). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[27]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 189). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[28]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 190). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[28]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 191). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[29]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 192). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[29]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 193). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 194). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[2]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 195). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[30]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 196). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[30]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 197). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[31]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 198). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[31]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 199). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[32]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 200). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[32]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 201). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[33]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 202). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[33]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 203). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[34]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 204). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[34]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 205). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[35]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 206). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[35]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 207). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[36]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 208). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[36]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 209). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[37]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 210). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[37]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 211). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[38]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 212). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[38]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 213). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[39]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 214). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[39]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 215). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[3]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 216). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[3]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 217). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[40]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 218). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[40]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 219). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[41]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 220). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[41]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 221). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[42]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 222). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[42]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 223). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[43]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 224). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[43]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 225). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[44]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 226). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[44]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 227). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[45]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 228). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[45]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 229). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[46]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 230). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[46]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 231). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[47]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 232). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[47]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 233). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[48]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 234). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[48]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 235). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[49]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 236). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[49]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 237). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[4]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 238). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[4]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 239). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[50]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 240). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[50]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 241). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[51]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 242). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[51]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 243). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[52]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 244). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[52]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 245). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[53]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 246). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[53]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 247). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[5]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 248). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[5]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 249). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[6]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 250). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[6]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 251). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[7]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 252). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[7]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 253). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[8]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 254). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[8]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 255). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[9]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 256). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[9]. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 257). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_ps_clk. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 258). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_ps_clk. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 259). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_ps_porb. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 260). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_ps_porb. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 261). Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_ps_srstb. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 262). Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_ps_srstb. (constraint file C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/.Xil/Vivado-4368-arefin/dcp_9/hdmi_in_processing_system7_0_0_in_context.xdc, line 263). Applied set_property DONT_TOUCH = true for hdmi_in_i. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/axi_dynclk_0. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/axi_gpio_btn. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/axi_gpio_led. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/axi_gpio_sw. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/axi_gpio_video. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/axi_mem_intercon. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/axi_mem_intercon/m00_couplers/auto_pc. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/axi_mem_intercon/m00_couplers/m00_data_fifo. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/axi_mem_intercon/m00_couplers/m00_regslice. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/axi_mem_intercon/s00_couplers/auto_us_df. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/axi_mem_intercon/s00_couplers/s00_regslice. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/axi_mem_intercon/s01_couplers/auto_us_df. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/axi_mem_intercon/s01_couplers/s01_regslice. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/axi_mem_intercon/xbar. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/axi_vdma_0. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/dvi2rgb_0. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/proc_sys_reset_0. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/processing_system7_0. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/processing_system7_0_axi_periph. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/processing_system7_0_axi_periph/s00_couplers/auto_pc. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/processing_system7_0_axi_periph/xbar. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/rgb2vga_0. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/rst_processing_system7_0_100M. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/rst_processing_system7_0_150M. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/v_axi4s_vid_out_0. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/v_tc_0. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/v_tc_1. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/v_vid_in_axi4s_0. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/xlconcat_0. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for hdmi_in_i/xlconstant_0. (constraint file auto generated constraint, line ). --------------------------------------------------------------------------------- Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:38 ; elapsed = 00:01:21 . Memory (MB): peak = 714.266 ; gain = 505.297 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:39 ; elapsed = 00:01:21 . Memory (MB): peak = 714.266 ; gain = 505.297 --------------------------------------------------------------------------------- Report RTL Partitions: +-+--------------+------------+----------+ | |RTL Partition |Replication |Instances | +-+--------------+------------+----------+ +-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start RTL Component Statistics --------------------------------------------------------------------------------- Detailed RTL Component Info : --------------------------------------------------------------------------------- Finished RTL Component Statistics --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- Hierarchical RTL Component report --------------------------------------------------------------------------------- Finished RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Part Resource Summary --------------------------------------------------------------------------------- Part Resources: DSPs: 80 (col length:40) BRAMs: 120 (col length: RAMB18 40 RAMB36 20) --------------------------------------------------------------------------------- Finished Part Resource Summary --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:39 ; elapsed = 00:01:22 . Memory (MB): peak = 714.266 ; gain = 505.297 --------------------------------------------------------------------------------- Report RTL Partitions: +-+--------------+------------+----------+ | |RTL Partition |Replication |Instances | +-+--------------+------------+----------+ +-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- INFO: [Synth 8-5578] Moved timing constraint from pin 'hdmi_in_i/dvi2rgb_0/PixelClk' to pin 'hdmi_in_i/dvi2rgb_0/bbstub_PixelClk/O' INFO: [Synth 8-5578] Moved timing constraint from pin 'hdmi_in_i/processing_system7_0/FCLK_CLK0' to pin 'hdmi_in_i/processing_system7_0/bbstub_FCLK_CLK0/O' INFO: [Synth 8-5578] Moved timing constraint from pin 'hdmi_in_i/processing_system7_0/FCLK_CLK1' to pin 'hdmi_in_i/processing_system7_0/bbstub_FCLK_CLK1/O' INFO: [Synth 8-5578] Moved timing constraint from pin 'hdmi_in_i/processing_system7_0/FCLK_CLK2' to pin 'hdmi_in_i/processing_system7_0/bbstub_FCLK_CLK2/O' INFO: [Synth 8-5819] Moved 4 constraints on hierarchical pins to their respective driving/loading pins --------------------------------------------------------------------------------- Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:54 ; elapsed = 00:01:38 . Memory (MB): peak = 714.266 ; gain = 505.297 --------------------------------------------------------------------------------- Report RTL Partitions: +-+--------------+------------+----------+ | |RTL Partition |Replication |Instances | +-+--------------+------------+----------+ +-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Technology Mapping : Time (s): cpu = 00:00:55 ; elapsed = 00:01:38 . Memory (MB): peak = 714.266 ; gain = 505.297 --------------------------------------------------------------------------------- Report RTL Partitions: +-+--------------+------------+----------+ | |RTL Partition |Replication |Instances | +-+--------------+------------+----------+ +-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished IO Insertion : Time (s): cpu = 00:00:55 ; elapsed = 00:01:39 . Memory (MB): peak = 714.266 ; gain = 505.297 --------------------------------------------------------------------------------- Report Check Netlist: +------+------------------+-------+---------+-------+------------------+ | |Item |Errors |Warnings |Status |Description | +------+------------------+-------+---------+-------+------------------+ |1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | +------+------------------+-------+---------+-------+------------------+ --------------------------------------------------------------------------------- Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Instances : Time (s): cpu = 00:00:55 ; elapsed = 00:01:39 . Memory (MB): peak = 714.266 ; gain = 505.297 --------------------------------------------------------------------------------- Report RTL Partitions: +-+--------------+------------+----------+ | |RTL Partition |Replication |Instances | +-+--------------+------------+----------+ +-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Handling Custom Attributes : Time (s): cpu = 00:00:55 ; elapsed = 00:01:39 . Memory (MB): peak = 714.266 ; gain = 505.297 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report --------------------------------------------------------------------------------- Report BlackBoxes: +------+----------------------------------------+----------+ | |BlackBox name |Instances | +------+----------------------------------------+----------+ |1 |hdmi_in_axi_dynclk_0_0 | 1| |2 |hdmi_in_axi_gpio_btn_0 | 1| |3 |hdmi_in_axi_gpio_led_0 | 1| |4 |hdmi_in_axi_gpio_sw_0 | 1| |5 |hdmi_in_axi_gpio_video_0 | 1| |6 |hdmi_in_auto_pc_0 | 1| |7 |hdmi_in_m00_data_fifo_0 | 1| |8 |hdmi_in_m00_regslice_0 | 1| |9 |hdmi_in_auto_us_df_0 | 1| |10 |hdmi_in_s00_regslice_0 | 1| |11 |hdmi_in_auto_us_df_1 | 1| |12 |hdmi_in_s01_regslice_0 | 1| |13 |hdmi_in_xbar_0 | 1| |14 |hdmi_in_axi_vdma_0_0 | 1| |15 |hdmi_in_dvi2rgb_0_0 | 1| |16 |hdmi_in_proc_sys_reset_0_0 | 1| |17 |hdmi_in_processing_system7_0_0 | 1| |18 |hdmi_in_auto_pc_1 | 1| |19 |hdmi_in_xbar_1 | 1| |20 |hdmi_in_rgb2vga_0_0 | 1| |21 |hdmi_in_rst_processing_system7_0_100M_0 | 1| |22 |hdmi_in_rst_processing_system7_0_150M_0 | 1| |23 |hdmi_in_v_axi4s_vid_out_0_0 | 1| |24 |hdmi_in_v_tc_0_0 | 1| |25 |hdmi_in_v_tc_1_0 | 1| |26 |hdmi_in_v_vid_in_axi4s_0_0 | 1| |27 |hdmi_in_xlconcat_0_0 | 1| |28 |hdmi_in_xlconstant_0_0 | 1| +------+----------------------------------------+----------+ Report Cell Usage: +------+---------------------------------------------+------+ | |Cell |Count | +------+---------------------------------------------+------+ |1 |hdmi_in_auto_pc_0_bbox | 1| |2 |hdmi_in_auto_pc_1_bbox | 1| |3 |hdmi_in_auto_us_df_0_bbox | 1| |4 |hdmi_in_auto_us_df_1_bbox | 1| |5 |hdmi_in_axi_dynclk_0_0_bbox | 1| |6 |hdmi_in_axi_gpio_btn_0_bbox | 1| |7 |hdmi_in_axi_gpio_led_0_bbox | 1| |8 |hdmi_in_axi_gpio_sw_0_bbox | 1| |9 |hdmi_in_axi_gpio_video_0_bbox | 1| |10 |hdmi_in_axi_vdma_0_0_bbox | 1| |11 |hdmi_in_dvi2rgb_0_0_bbox | 1| |12 |hdmi_in_m00_data_fifo_0_bbox | 1| |13 |hdmi_in_m00_regslice_0_bbox | 1| |14 |hdmi_in_proc_sys_reset_0_0_bbox | 1| |15 |hdmi_in_processing_system7_0_0_bbox | 1| |16 |hdmi_in_rgb2vga_0_0_bbox | 1| |17 |hdmi_in_rst_processing_system7_0_100M_0_bbox | 1| |18 |hdmi_in_rst_processing_system7_0_150M_0_bbox | 1| |19 |hdmi_in_s00_regslice_0_bbox | 1| |20 |hdmi_in_s01_regslice_0_bbox | 1| |21 |hdmi_in_v_axi4s_vid_out_0_0_bbox | 1| |22 |hdmi_in_v_tc_0_0_bbox | 1| |23 |hdmi_in_v_tc_1_0_bbox | 1| |24 |hdmi_in_v_vid_in_axi4s_0_0_bbox | 1| |25 |hdmi_in_xbar_0_bbox | 1| |26 |hdmi_in_xbar_1_bbox | 1| |27 |hdmi_in_xlconcat_0_0_bbox | 1| |28 |hdmi_in_xlconstant_0_0_bbox | 1| |29 |IBUF | 8| |30 |IOBUF | 4| |31 |OBUF | 24| +------+---------------------------------------------+------+ Report Instance Areas: +------+------------------------------------+------------------------------------------+------+ | |Instance |Module |Cells | +------+------------------------------------+------------------------------------------+------+ |1 |top | | 3810| |2 | hdmi_in_i |hdmi_in | 3774| |3 | axi_mem_intercon |hdmi_in_axi_mem_intercon_0 | 1610| |4 | m00_couplers |m00_couplers_imp_KM5YEW | 808| |5 | s00_couplers |s00_couplers_imp_1L07FMS | 248| |6 | s01_couplers |s01_couplers_imp_KRH6EF | 200| |7 | processing_system7_0_axi_periph |hdmi_in_processing_system7_0_axi_periph_0 | 1106| |8 | s00_couplers |s00_couplers_imp_1B1CMQG | 177| +------+------------------------------------+------------------------------------------+------+ --------------------------------------------------------------------------------- Finished Writing Synthesis Report : Time (s): cpu = 00:00:55 ; elapsed = 00:01:39 . Memory (MB): peak = 714.266 ; gain = 505.297 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 0 warnings. Synthesis Optimization Runtime : Time (s): cpu = 00:00:24 ; elapsed = 00:00:36 . Memory (MB): peak = 714.266 ; gain = 118.852 Synthesis Optimization Complete : Time (s): cpu = 00:00:56 ; elapsed = 00:01:39 . Memory (MB): peak = 714.266 ; gain = 505.297 INFO: [Project 1-571] Translating synthesized netlist INFO: [Netlist 29-17] Analyzing 12 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Project 1-111] Unisim Transformation Summary: A total of 4 instances were transformed. IOBUF => IOBUF (IBUF, OBUFT): 4 instances INFO: [Common 17-83] Releasing license: Synthesis 119 Infos, 81 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully synth_design: Time (s): cpu = 00:00:44 ; elapsed = 00:01:09 . Memory (MB): peak = 714.266 ; gain = 421.023 INFO: [Common 17-1381] The checkpoint 'C:/Users/ar3fin/Downloads/hdmi_in/proj/hdmi-in.runs/synth_1/hdmi_in_wrapper.dcp' has been generated. report_utilization: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.133 . Memory (MB): peak = 714.266 ; gain = 0.000 INFO: [Common 17-206] Exiting Vivado at Wed Jan 24 21:18:47 2018...