Sourcing tcl script 'C:/Users/jonpe/AppData/Roaming/Xilinx/Vivado/init.tcl' start_gui cd C:/Users/jonpe/OneDrive/Desktop/Arty-Z7-20-xadc-master/proj/ source ./create_project.tcl # set proj_name "XADC" # if {[info exists ::create_path]} { # set dest_dir $::create_path # } else { # set dest_dir [file normalize [file dirname [info script]]] # } # puts "INFO: Creating new project in $dest_dir" INFO: Creating new project in C:/Users/jonpe/OneDrive/Desktop/Arty-Z7-20-xadc-master/proj # cd $dest_dir # set part "xc7z020clg400-1" # set brd_part "digilentinc.com:arty-z7-20:part0:1.0" # set origin_dir ".." # set orig_proj_dir "[file normalize "$origin_dir/proj"]" # set src_dir $origin_dir/src # set repo_dir $origin_dir/repo # create_project $proj_name $dest_dir INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2016.4/data/ip'. create_project: Time (s): cpu = 00:00:14 ; elapsed = 00:00:07 . Memory (MB): peak = 694.813 ; gain = 65.266 # set proj_dir [get_property directory [current_project]] # set obj [get_projects $proj_name] # set_property "default_lib" "xil_defaultlib" $obj # set_property "part" $part $obj # set_property "board_part" $brd_part $obj # set_property "simulator_language" "Mixed" $obj # set_property "target_language" "VHDL" $obj # set_property "corecontainer.enable" "0" $obj # set_property "ip_cache_permissions" "read write" $obj # set_property "ip_output_repo" "[file normalize "$origin_dir/repo/cache"]" $obj # if {[string equal [get_filesets -quiet sources_1] ""]} { # create_fileset -srcset sources_1 # } # if {[string equal [get_filesets -quiet constrs_1] ""]} { # create_fileset -constrset constrs_1 # } # set obj [get_filesets sources_1] # set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj # update_ip_catalog -rebuild INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1700] Loaded user IP repository 'c:/Users/jonpe/OneDrive/Desktop/Arty-Z7-20-xadc-master/repo'. # add_files -quiet $src_dir/hdl # add_files -quiet [glob -nocomplain ../src/ip/*/*.xci] # add_files -fileset constrs_1 -quiet $src_dir/constraints # if {[string equal [get_runs -quiet synth_1] ""]} { # create_run -name synth_1 -part $part -flow {Vivado Synthesis 2015} -strategy "Vivado Synthesis Defaults" -constrset constrs_1 # } else { # set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1] # set_property flow "Vivado Synthesis 2015" [get_runs synth_1] # } # set obj [get_runs synth_1] # set_property "part" $part $obj # set_property "steps.synth_design.args.flatten_hierarchy" "none" $obj # set_property "steps.synth_design.args.directive" "RuntimeOptimized" $obj # set_property "steps.synth_design.args.fsm_extraction" "off" $obj # current_run -synthesis [get_runs synth_1] # if {[string equal [get_runs -quiet impl_1] ""]} { # create_run -name impl_1 -part $part -flow {Vivado Implementation 2015} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1 # } else { # set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] # set_property flow "Vivado Implementation 2015" [get_runs impl_1] # } # set obj [get_runs impl_1] # set_property "part" $part $obj # set_property "steps.opt_design.args.directive" "RuntimeOptimized" $obj # set_property "steps.place_design.args.directive" "RuntimeOptimized" $obj # set_property "steps.route_design.args.directive" "RuntimeOptimized" $obj # current_run -implementation [get_runs impl_1] # puts "INFO: Project created:$proj_name" INFO: Project created:XADC # set bd_list [glob -nocomplain $src_dir/bd/*/*.bd] # if {[llength $bd_list] != 0} { # add_files -norecurse -quiet -fileset sources_1 [glob -nocomplain $src_dir/bd/*/*.bd] # open_bd_design [glob -nocomplain $src_dir/bd/*/*.bd] # set design_name [get_bd_designs] # set file "$origin_dir/src/bd/$design_name/$design_name.bd" # set file [file normalize $file] # set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] # if { ![get_property "is_locked" $file_obj] } { # set_property "synth_checkpoint_mode" "Hierarchical" $file_obj # } # # # Generate the wrapper # set design_name [get_bd_designs] # make_wrapper -files [get_files $design_name.bd] -top -force -quiet -import # # } # set sdk_dir $origin_dir/sdk # set hw_list [glob -nocomplain $sdk_dir/*hw_platform*] # if {[llength $hw_list] != 0} { # foreach hw_plat $hw_list { # file delete -force $hw_plat # } # } # set sdk_list [glob -nocomplain $sdk_dir/*] # set sdk_list [lsearch -inline -all -not -exact $sdk_list "../sdk/.keep"] # if {[llength $sdk_list] != 0} { # exec xsct -eval "setws -switch ../sdk; importproject ../sdk" # } launch_runs impl_1 -to_step write_bitstream -jobs 2 INFO: [Vivado 12-4149] The synthesis checkpoint for IP 'C:/Users/jonpe/OneDrive/Desktop/Arty-Z7-20-xadc-master/src/ip/xadc_wiz_0/xadc_wiz_0.xci' is already up-to-date [Fri Dec 29 15:46:07 2017] Launched synth_1... Run output will be captured here: C:/Users/jonpe/OneDrive/Desktop/Arty-Z7-20-xadc-master/proj/XADC.runs/synth_1/runme.log [Fri Dec 29 15:46:07 2017] Launched impl_1... Run output will be captured here: C:/Users/jonpe/OneDrive/Desktop/Arty-Z7-20-xadc-master/proj/XADC.runs/impl_1/runme.log