H:\micro_blaze\miro_blaze\miro_blaze.sdk\TESTERINO_GPIONER_xgpio_example_1\Debug\TESTERINO_GPIONER_xgpio_example_1.elf: file format elf32-microblazeel H:\micro_blaze\miro_blaze\miro_blaze.sdk\TESTERINO_GPIONER_xgpio_example_1\Debug\TESTERINO_GPIONER_xgpio_example_1.elf architecture: MicroBlaze, flags 0x00000012: EXEC_P, HAS_SYMS start address 0x00000000 Program Header: LOAD off 0x00000074 vaddr 0x00000000 paddr 0x00000000 align 2**2 filesz 0x00000028 memsz 0x00000028 flags r-x LOAD off 0x0000009c vaddr 0x00000050 paddr 0x00000050 align 2**2 filesz 0x00001edc memsz 0x00002b18 flags rwx Sections: Idx Name Size VMA LMA File off Algn 0 .vectors.reset 00000008 00000000 00000000 00000074 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE 1 .vectors.sw_exception 00000008 00000008 00000008 0000007c 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE 2 .vectors.interrupt 00000008 00000010 00000010 00000084 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE 3 .vectors.hw_exception 00000008 00000020 00000020 00000094 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE 4 .text 0000190c 00000050 00000050 0000009c 2**2 CONTENTS, ALLOC, LOAD, CODE 5 .init 0000003c 0000195c 0000195c 000019a8 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE 6 .fini 00000020 00001998 00001998 000019e4 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE 7 .ctors 00000008 000019b8 000019b8 00001a04 2**2 CONTENTS, ALLOC, LOAD, DATA 8 .dtors 00000008 000019c0 000019c0 00001a0c 2**2 CONTENTS, ALLOC, LOAD, DATA 9 .rodata 00000428 000019c8 000019c8 00001a14 2**2 CONTENTS, ALLOC, LOAD, READONLY, DATA 10 .sdata2 00000000 00001df0 00001df0 00001f78 2**0 CONTENTS 11 .data 0000013c 00001df0 00001df0 00001e3c 2**2 CONTENTS, ALLOC, LOAD, DATA 12 .sdata 00000004 00001f2c 00001f2c 00001f78 2**0 ALLOC 13 .sbss 00000000 00001f30 00001f30 00001f78 2**0 CONTENTS 14 .bss 00000038 00001f30 00001f30 00001f78 2**2 ALLOC 15 .heap 00000800 00001f68 00001f68 00001f78 2**0 ALLOC 16 .stack 00000400 00002768 00002768 00001f78 2**0 ALLOC 17 .debug_frame 000000c0 00000000 00000000 00001f78 2**2 CONTENTS, READONLY, DEBUGGING 18 .debug_info 00000ead 00000000 00000000 00002038 2**0 CONTENTS, READONLY, DEBUGGING 19 .debug_abbrev 000002e3 00000000 00000000 00002ee5 2**0 CONTENTS, READONLY, DEBUGGING 20 .debug_aranges 00000088 00000000 00000000 000031c8 2**3 CONTENTS, READONLY, DEBUGGING 21 .debug_ranges 00000028 00000000 00000000 00003250 2**0 CONTENTS, READONLY, DEBUGGING 22 .debug_macro 00002ccf 00000000 00000000 00003278 2**0 CONTENTS, READONLY, DEBUGGING 23 .debug_line 000008cb 00000000 00000000 00005f47 2**0 CONTENTS, READONLY, DEBUGGING 24 .debug_str 0000eb98 00000000 00000000 00006812 2**0 CONTENTS, READONLY, DEBUGGING 25 .debug_loc 00000034 00000000 00000000 000153aa 2**0 CONTENTS, READONLY, DEBUGGING SYMBOL TABLE: 00000000 l d .vectors.reset 00000000 .vectors.reset 00000008 l d .vectors.sw_exception 00000000 .vectors.sw_exception 00000010 l d .vectors.interrupt 00000000 .vectors.interrupt 00000020 l d .vectors.hw_exception 00000000 .vectors.hw_exception 00000050 l d .text 00000000 .text 0000195c l d .init 00000000 .init 00001998 l d .fini 00000000 .fini 000019b8 l d .ctors 00000000 .ctors 000019c0 l d .dtors 00000000 .dtors 000019c8 l d .rodata 00000000 .rodata 00001df0 l d .sdata2 00000000 .sdata2 00001df0 l d .data 00000000 .data 00001f2c l d .sdata 00000000 .sdata 00001f30 l d .sbss 00000000 .sbss 00001f30 l d .bss 00000000 .bss 00001f68 l d .heap 00000000 .heap 00002768 l d .stack 00000000 .stack 00000000 l d .debug_frame 00000000 .debug_frame 00000000 l d .debug_info 00000000 .debug_info 00000000 l d .debug_abbrev 00000000 .debug_abbrev 00000000 l d .debug_aranges 00000000 .debug_aranges 00000000 l d .debug_ranges 00000000 .debug_ranges 00000000 l d .debug_macro 00000000 .debug_macro 00000000 l d .debug_line 00000000 .debug_line 00000000 l d .debug_str 00000000 .debug_str 00000000 l d .debug_loc 00000000 .debug_loc 00000000 l df *ABS* 00000000 c:/xilinx/sdk/2017.2/gnu/microblaze/nt/bin/../lib/gcc/microblaze-xilinx-elf/6.2.0/../../../../microblaze-xilinx-elf/lib/le/crt0.o 00000008 l .vectors.sw_exception 00000000 _vector_sw_exception 00000010 l .vectors.interrupt 00000000 _vector_interrupt 00000020 l .vectors.hw_exception 00000000 _vector_hw_exception 00000000 l df *ABS* 00000000 c:/xilinx/sdk/2017.2/gnu/microblaze/nt/bin/../lib/gcc/microblaze-xilinx-elf/6.2.0/le/crtbegin.o 000019b8 l O .ctors 00000000 __CTOR_LIST__ 000019c0 l O .dtors 00000000 __DTOR_LIST__ 00000084 l .text 00000000 deregister_tm_clones 000000d4 l .text 00000000 register_tm_clones 000001b0 l .text 00000000 __do_global_dtors_aux 00001f30 l O .bss 00000001 completed.5076 00001f34 l O .bss 00000004 dtor_idx.5078 00000290 l .text 00000000 frame_dummy 00001f38 l O .bss 00000018 object.5088 00000000 l df *ABS* 00000000 c:/xilinx/sdk/2017.2/gnu/microblaze/nt/bin/../lib/gcc/microblaze-xilinx-elf/6.2.0/le/crtend.o 000019bc l O .ctors 00000000 __CTOR_END__ 00000af0 l .text 00000000 __do_global_ctors_aux 00000000 l df *ABS* 00000000 xil_assert.o 00001f50 l O .bss 00000004 Xil_AssertCallbackRoutine 00000000 l df *ABS* 00000000 xil_printf.o 00000ec4 l F .text 00000338 outnum 00000000 l df *ABS* 00000000 lib_a-ctype_.o 00001a14 l O .rodata 00000180 _ctype_b 00000000 l df *ABS* 00000000 lib_a-impure.o 00001df4 l O .data 000000f0 impure_data 00000000 l df *ABS* 00000000 00001f30 l .sdata 00000000 __sdata_start 00001df0 l .sdata2 00000000 __sbss2_end 00001df0 l .sdata2 00000000 __sdata2_start 000019b8 g .ctors 00000000 ___CTORS_LIST___ 00001ee8 g O .data 00000008 MB_InterruptVectorTable 00000e6c g F .text 00000050 Xil_Assert 00001f30 g .sbss 00000000 __sbss_start 00001f04 g O .data 00000028 XIntc_ConfigTable 00001f2c g O .data 00000000 .hidden __TMC_END__ 000019c4 g O .dtors 00000000 .hidden __DTOR_END__ 00000b40 g F .text 00000020 init_platform 00001df0 g .rodata 00000000 __rodata_end 00001780 g F .text 00000194 XIntc_DeviceInterruptHandler 000003c0 g F .text 000000a8 .hidden __udivsi3 000002f8 g F .text 00000000 _crtinit 00001f54 g O .bss 00000010 Gpio 00002768 g .heap 00000000 _heap_end 00001c9c g O .rodata 00000004 _global_impure_ptr 00001f68 g .bss 00000000 __bss_end 00001f30 g .sbss 00000000 __tbss_start 00000d38 g F .text 00000090 XGpio_Initialize 0000195c g .init 00000000 __init 00001ef0 g O .data 00000004 Xil_AssertWait 00001f68 g .heap 00000000 _heap_start 00001f30 g .sbss 00000000 __sbss_end 00000be0 g F .text 0000006c XGpio_CfgInitialize 00000ebc g F .text 00000008 XNullHandler 000011fc g F .text 00000584 xil_printf 00000468 g F .text 000000bc .hidden __umodsi3 00000000 w *UND* 00000000 __sf_fake_stderr 00000000 w *UND* 00000000 __deregister_frame_info 00000000 w *UND* 00000000 _ITM_registerTMCloneTable 000019b8 g .ctors 00000000 __CTOR_LIST__ 00001df0 g .sdata2 00000000 __sbss2_start 00000894 g F .text 00000248 __call_exitprocs 00000000 g F .vectors.reset 00000000 _start 000019c8 g .rodata 00000000 __rodata_start 00001f30 g *ABS* 00000000 _SDA_BASE_ 00001f2c g .data 00000000 __data_end 00000adc w F .text 00000000 _exception_handler 00000c4c g F .text 000000ec XGpio_SetDataDirection 00001914 g F .text 0000002c outbyte 00000000 w *UND* 00000000 _ITM_deregisterTMCloneTable 00000000 w *UND* 00000000 __sf_fake_stdin 00001f30 g .bss 00000000 __bss_start 00000400 g *ABS* 00000000 _STACK_SIZE 00001f30 g .sbss 00000000 __tdata_start 00001f30 g .sbss 00000000 __tdata_end 0000058c g F .text 00000258 memset 00000b60 g F .text 00000080 main 00000800 g *ABS* 00000000 _HEAP_SIZE 00000050 g F .text 00000000 _start1 00002768 g .stack 00000000 _stack_end 00001f64 g O .bss 00000004 Xil_AssertStatus 00001f30 g .sdata 00000000 __sdata_end 000019c0 g .ctors 00000000 __CTOR_END__ 000019c0 g .dtors 00000000 ___DTORS_LIST___ 000019c0 g .dtors 00000000 __DTOR_LIST__ 00001998 g .fini 00000000 __fini 00001ee4 g O .data 00000004 _impure_ptr 00001df0 g .sdata2 00000000 __sdata2_end 00000dc8 g .text 00000000 _interrupt_handler 00001940 g F .text 0000001c XUartLite_SendByte 000019c0 g .ctors 00000000 ___CTORS_END___ 00002b68 g .stack 00000000 __stack 00002b68 g .stack 00000000 _end 00001f68 g .heap 00000000 _heap 00000524 g F .text 00000068 exit 000003a8 g .text 00000000 _hw_exception_handler 000003ac g .text 00000000 microblaze_enable_icache 00001df0 g O .data 00000004 __ctype_ptr__ 00001f30 g .sbss 00000000 __tbss_end 00000000 w *UND* 00000000 __sf_fake_stdout 00001b94 g O .rodata 00000101 _ctype_ 00000ae8 g .text 00000000 _program_init 00000080 g F .text 00000000 _exit 000007e4 g F .text 000000b0 strlen 00002b68 g .stack 00000000 _stack 00001df0 g .data 00000000 __data_start 00000000 w *UND* 00000000 _Jv_RegisterClasses 00000ae0 g .text 00000000 _program_clean 00001df0 g *ABS* 00000000 _SDA2_BASE_ 00000000 w *UND* 00000000 __register_frame_info 00000000 w *UND* 00000000 free 00001ef4 g O .data 00000010 XGpio_ConfigTable 00000dc8 g .text 000000a4 __interrupt_handler Disassembly of section .vectors.reset: 00000000 <_start>: #endif } void cleanup_platform() { 0: b0000000 imm 0 4: b8080050 brai 80 // 50 <_start1> Disassembly of section .vectors.sw_exception: 00000008 <_vector_sw_exception>: } void disable_caches() { Xil_DCacheDisable(); 8: b0000000 imm 0 c: b8080adc brai 2780 // adc <_exception_handler> Disassembly of section .vectors.interrupt: 00000010 <_vector_interrupt>: 10: b0000000 imm 0 Xil_ICacheDisable(); 14: b8080dc8 brai 3528 // dc8 <__interrupt_handler> Disassembly of section .vectors.hw_exception: 00000020 <_vector_hw_exception>: void cleanup_platform() { disable_caches(); } 20: b0000000 imm 0 24: b80803a8 brai 936 // 3a8 <_hw_exception_handler> Disassembly of section .text: 00000050 <_start1>: 50: b0000000 imm 0 54: 31a01f30 addik r13, r0, 7984 // 1f30 <_SDA_BASE_> 58: b0000000 imm 0 5c: 30401df0 addik r2, r0, 7664 // 1df0 <_SDA2_BASE_> 60: b0000000 imm 0 64: 30202b58 addik r1, r0, 11096 68: b0000000 imm 0 6c: b9f4028c brlid r15, 652 // 2f8 <_crtinit> 70: 80000000 or r0, r0, r0 74: b0000000 imm 0 78: b9f404ac brlid r15, 1196 // 524 7c: 30a30000 addik r5, r3, 0 00000080 <_exit>: 80: b8000000 bri 0 // 80 <_exit> 00000084 : 84: b0000000 imm 0 88: 30a01f2c addik r5, r0, 7980 // 1f2c <__TMC_END__> 8c: b0000000 imm 0 90: 30601f2f addik r3, r0, 7983 94: 30800006 addik r4, r0, 6 98: 14651800 rsubk r3, r5, r3 9c: 16432003 cmpu r18, r3, r4 a0: bcb2002c bgei r18, 44 // cc a4: b0000000 imm 0 a8: 30600000 addik r3, r0, 0 ac: bc030020 beqi r3, 32 // cc b0: 3021ffe4 addik r1, r1, -28 b4: f9e10000 swi r15, r1, 0 b8: 99fc1800 brald r15, r3 bc: 80000000 or r0, r0, r0 c0: e9e10000 lwi r15, r1, 0 c4: b60f0008 rtsd r15, 8 c8: 3021001c addik r1, r1, 28 cc: b60f0008 rtsd r15, 8 d0: 80000000 or r0, r0, r0 000000d4 : d4: b0000000 imm 0 d8: 30a01f2c addik r5, r0, 7980 // 1f2c <__TMC_END__> dc: b0000000 imm 0 e0: 30601f2c addik r3, r0, 7980 // 1f2c <__TMC_END__> e4: 14651800 rsubk r3, r5, r3 e8: 10801800 addk r4, r0, r3 ec: 90830001 sra r4, r3 f0: 90840001 sra r4, r4 f4: 10c02000 addk r6, r0, r4 f8: 90c40041 srl r6, r4 fc: 90c60041 srl r6, r6 100: 90c60041 srl r6, r6 104: 90c60041 srl r6, r6 108: 90c60041 srl r6, r6 10c: 90c60041 srl r6, r6 110: 90c60041 srl r6, r6 114: 90c60041 srl r6, r6 118: 90c60041 srl r6, r6 11c: 90c60041 srl r6, r6 120: 90c60041 srl r6, r6 124: 90c60041 srl r6, r6 128: 90c60041 srl r6, r6 12c: 90c60041 srl r6, r6 130: 90c60041 srl r6, r6 134: 90c60041 srl r6, r6 138: 90c60041 srl r6, r6 13c: 90c60041 srl r6, r6 140: 90c60041 srl r6, r6 144: 90c60041 srl r6, r6 148: 90c60041 srl r6, r6 14c: 90c60041 srl r6, r6 150: 90c60041 srl r6, r6 154: 90c60041 srl r6, r6 158: 90c60041 srl r6, r6 15c: 90c60041 srl r6, r6 160: 90c60041 srl r6, r6 164: 90c60041 srl r6, r6 168: 90c60041 srl r6, r6 16c: 90c60041 srl r6, r6 170: 90c60041 srl r6, r6 174: 10c62000 addk r6, r6, r4 178: 90c60001 sra r6, r6 17c: bc06002c beqi r6, 44 // 1a8 180: b0000000 imm 0 184: 30600000 addik r3, r0, 0 188: bc030020 beqi r3, 32 // 1a8 18c: 3021ffe4 addik r1, r1, -28 190: f9e10000 swi r15, r1, 0 194: 99fc1800 brald r15, r3 198: 80000000 or r0, r0, r0 19c: e9e10000 lwi r15, r1, 0 1a0: b60f0008 rtsd r15, 8 1a4: 3021001c addik r1, r1, 28 1a8: b60f0008 rtsd r15, 8 1ac: 80000000 or r0, r0, r0 000001b0 <__do_global_dtors_aux>: 1b0: b0000000 imm 0 1b4: e0601f30 lbui r3, r0, 7984 // 1f30 <_SDA_BASE_> 1b8: bc2300d0 bnei r3, 208 // 288 1bc: b0000000 imm 0 1c0: 30a019c0 addik r5, r0, 6592 // 19c0 <__CTOR_END__> 1c4: b0000000 imm 0 1c8: 308019c4 addik r4, r0, 6596 // 19c4 <__DTOR_END__> 1cc: b0000000 imm 0 1d0: e8601f34 lwi r3, r0, 7988 // 1f34 1d4: 3021ffdc addik r1, r1, -36 1d8: 14852000 rsubk r4, r5, r4 1dc: fa61001c swi r19, r1, 28 1e0: fac10020 swi r22, r1, 32 1e4: 12602000 addk r19, r0, r4 1e8: 92640001 sra r19, r4 1ec: 92730001 sra r19, r19 1f0: f9e10000 swi r15, r1, 0 1f4: 3273ffff addik r19, r19, -1 1f8: b0000000 imm 0 1fc: 32c019c0 addik r22, r0, 6592 // 19c0 <__CTOR_END__> 200: 16531803 cmpu r18, r19, r3 204: beb20038 bgeid r18, 56 // 23c 208: 30630001 addik r3, r3, 1 20c: 10831800 addk r4, r3, r3 210: 10842000 addk r4, r4, r4 214: c884b000 lw r4, r4, r22 218: b0000000 imm 0 21c: f8601f34 swi r3, r0, 7988 // 1f34 220: 99fc2000 brald r15, r4 224: 80000000 or r0, r0, r0 228: b0000000 imm 0 22c: e8601f34 lwi r3, r0, 7988 // 1f34 230: 16531803 cmpu r18, r19, r3 234: be52ffd8 bltid r18, -40 // 20c 238: 30630001 addik r3, r3, 1 23c: b9f4fe48 brlid r15, -440 // 84 240: 80000000 or r0, r0, r0 244: b0000000 imm 0 248: 30600000 addik r3, r0, 0 24c: be030020 beqid r3, 32 // 26c 250: e9e10000 lwi r15, r1, 0 254: b0000000 imm 0 258: 30a01f2c addik r5, r0, 7980 // 1f2c <__TMC_END__> 25c: b000ffff imm -1 260: b9f4fda0 brlid r15, -608 // 0 <_start> 264: 80000000 or r0, r0, r0 268: e9e10000 lwi r15, r1, 0 26c: ea61001c lwi r19, r1, 28 270: eac10020 lwi r22, r1, 32 274: 30600001 addik r3, r0, 1 278: b0000000 imm 0 27c: f0601f30 sbi r3, r0, 7984 // 1f30 <_SDA_BASE_> 280: b60f0008 rtsd r15, 8 284: 30210024 addik r1, r1, 36 288: b60f0008 rtsd r15, 8 28c: 80000000 or r0, r0, r0 00000290 : 290: b0000000 imm 0 294: 30600000 addik r3, r0, 0 298: 3021ffe4 addik r1, r1, -28 29c: be030020 beqid r3, 32 // 2bc 2a0: f9e10000 swi r15, r1, 0 2a4: b0000000 imm 0 2a8: 30c01f38 addik r6, r0, 7992 // 1f38 2ac: b0000000 imm 0 2b0: 30a01f2c addik r5, r0, 7980 // 1f2c <__TMC_END__> 2b4: 99fc1800 brald r15, r3 2b8: 80000000 or r0, r0, r0 2bc: b0000000 imm 0 2c0: 30a01f2c addik r5, r0, 7980 // 1f2c <__TMC_END__> 2c4: e8650000 lwi r3, r5, 0 2c8: bc230018 bnei r3, 24 // 2e0 2cc: b9f4fe08 brlid r15, -504 // d4 2d0: 80000000 or r0, r0, r0 2d4: e9e10000 lwi r15, r1, 0 2d8: b60f0008 rtsd r15, 8 2dc: 3021001c addik r1, r1, 28 2e0: b0000000 imm 0 2e4: 30600000 addik r3, r0, 0 2e8: bc03ffe4 beqi r3, -28 // 2cc 2ec: 99fc1800 brald r15, r3 2f0: 80000000 or r0, r0, r0 2f4: b800ffd8 bri -40 // 2cc 000002f8 <_crtinit>: 2f8: 2021ffec addi r1, r1, -20 2fc: f9e10000 swi r15, r1, 0 300: b0000000 imm 0 304: 20c01f30 addi r6, r0, 7984 // 1f30 <_SDA_BASE_> 308: b0000000 imm 0 30c: 20e01f30 addi r7, r0, 7984 // 1f30 <_SDA_BASE_> 310: 06463800 rsub r18, r6, r7 314: bc720014 blei r18, 20 // 328 318: f8060000 swi r0, r6, 0 31c: 20c60004 addi r6, r6, 4 320: 06463800 rsub r18, r6, r7 324: bc92fff4 bgti r18, -12 // 318 328: b0000000 imm 0 32c: 20c01f30 addi r6, r0, 7984 // 1f30 <_SDA_BASE_> 330: b0000000 imm 0 334: 20e01f68 addi r7, r0, 8040 // 1f68 <__bss_end> 338: 06463800 rsub r18, r6, r7 33c: bc720014 blei r18, 20 // 350 340: f8060000 swi r0, r6, 0 344: 20c60004 addi r6, r6, 4 348: 06463800 rsub r18, r6, r7 34c: bc92fff4 bgti r18, -12 // 340 350: b0000000 imm 0 354: b9f40794 brlid r15, 1940 // ae8 <_program_init> 358: 80000000 or r0, r0, r0 35c: b0000000 imm 0 360: b9f415fc brlid r15, 5628 // 195c <__init> 364: 80000000 or r0, r0, r0 368: 20c00000 addi r6, r0, 0 36c: 20e00000 addi r7, r0, 0 370: b0000000 imm 0 374: b9f407ec brlid r15, 2028 // b60
378: 20a00000 addi r5, r0, 0 37c: 32630000 addik r19, r3, 0 380: b0000000 imm 0 384: b9f41614 brlid r15, 5652 // 1998 <__fini> 388: 80000000 or r0, r0, r0 38c: b0000000 imm 0 390: b9f40750 brlid r15, 1872 // ae0 <_program_clean> 394: 80000000 or r0, r0, r0 398: c9e10000 lw r15, r1, r0 39c: 30730000 addik r3, r19, 0 3a0: b60f0008 rtsd r15, 8 3a4: 20210014 addi r1, r1, 20 000003a8 <_hw_exception_handler>: 3a8: b8000000 bri 0 // 3a8 <_hw_exception_handler> 000003ac : 3ac: 95008001 mfs r8, rmsr 3b0: a1080020 ori r8, r8, 32 3b4: 9408c001 mts rmsr, r8 3b8: b60f0008 rtsd r15, 8 3bc: 80000000 or r0, r0, r0 000003c0 <__udivsi3>: 3c0: 3021fff4 addik r1, r1, -12 3c4: fba10000 swi r29, r1, 0 3c8: fbc10004 swi r30, r1, 4 3cc: fbe10008 swi r31, r1, 8 3d0: bc060080 beqi r6, 128 // 450 3d4: be05007c beqid r5, 124 // 450 3d8: 33c00000 addik r30, r0, 0 3dc: 33a00020 addik r29, r0, 32 // 20 <_vector_hw_exception> 3e0: 06453000 rsub r18, r5, r6 3e4: be120070 beqid r18, 112 // 454 3e8: 30600001 addik r3, r0, 1 3ec: 8a453000 xor r18, r5, r6 3f0: beb20010 bgeid r18, 16 // 400 <_STACK_SIZE> 3f4: 00600000 add r3, r0, r0 3f8: bc46005c blti r6, 92 // 454 3fc: b800000c bri 12 // 408 400: 06462800 rsub r18, r6, r5 404: bc520050 blti r18, 80 // 454 408: bc86000c bgti r6, 12 // 414 40c: b8100048 brid 72 // 454 410: 30600001 addik r3, r0, 1 414: bc450010 blti r5, 16 // 424 418: 00a52800 add r5, r5, r5 41c: be85fffc bgtid r5, -4 // 418 420: 33bdffff addik r29, r29, -1 424: 00a52800 add r5, r5, r5 428: 0bdef000 addc r30, r30, r30 42c: 07e6f000 rsub r31, r6, r30 430: bc5f000c blti r31, 12 // 43c 434: 83c0f800 or r30, r0, r31 438: 30630001 addik r3, r3, 1 43c: 33bdffff addik r29, r29, -1 440: bc1d000c beqi r29, 12 // 44c 444: 00631800 add r3, r3, r3 448: b800ffdc bri -36 // 424 44c: b8000008 bri 8 // 454 450: 80600000 or r3, r0, r0 454: eba10000 lwi r29, r1, 0 458: ebc10004 lwi r30, r1, 4 45c: ebe10008 lwi r31, r1, 8 460: b60f0008 rtsd r15, 8 464: 3021000c addik r1, r1, 12 00000468 <__umodsi3>: 468: 3021fff4 addik r1, r1, -12 46c: fba10000 swi r29, r1, 0 470: fbc10004 swi r30, r1, 4 474: fbe10008 swi r31, r1, 8 478: bc060094 beqi r6, 148 // 50c 47c: be050090 beqid r5, 144 // 50c 480: 30600000 addik r3, r0, 0 484: 33c00000 addik r30, r0, 0 488: 33a00020 addik r29, r0, 32 // 20 <_vector_hw_exception> 48c: 06453000 rsub r18, r5, r6 490: bc120080 beqi r18, 128 // 510 494: 8a453000 xor r18, r5, r6 498: beb20010 bgeid r18, 16 // 4a8 49c: 30650000 addik r3, r5, 0 4a0: bc460070 blti r6, 112 // 510 4a4: b800000c bri 12 // 4b0 4a8: 06453000 rsub r18, r5, r6 4ac: bc920064 bgti r18, 100 // 510 4b0: be860020 bgtid r6, 32 // 4d0 4b4: 30600000 addik r3, r0, 0 4b8: b0007fff imm 32767 4bc: 3240ffff addik r18, r0, -1 4c0: 84a59000 and r5, r5, r18 4c4: 84c69000 and r6, r6, r18 4c8: b8100048 brid 72 // 510 4cc: 04662800 rsub r3, r6, r5 4d0: bc450010 blti r5, 16 // 4e0 4d4: 00a52800 add r5, r5, r5 4d8: bea5fffc bgeid r5, -4 // 4d4 4dc: 33bdffff addik r29, r29, -1 4e0: 00a52800 add r5, r5, r5 4e4: 08631800 addc r3, r3, r3 4e8: 07e61800 rsub r31, r6, r3 4ec: bc5f000c blti r31, 12 // 4f8 4f0: 8060f800 or r3, r0, r31 4f4: 33de0001 addik r30, r30, 1 4f8: 33bdffff addik r29, r29, -1 4fc: bc1d000c beqi r29, 12 // 508 500: 03def000 add r30, r30, r30 504: b800ffdc bri -36 // 4e0 508: b8000008 bri 8 // 510 50c: 80600000 or r3, r0, r0 510: eba10000 lwi r29, r1, 0 514: ebc10004 lwi r30, r1, 4 518: ebe10008 lwi r31, r1, 8 51c: b60f0008 rtsd r15, 8 520: 3021000c addik r1, r1, 12 00000524 : 524: 3021ffdc addik r1, r1, -36 528: f9e10000 swi r15, r1, 0 52c: fa610020 swi r19, r1, 32 530: 12610000 addk r19, r1, r0 534: f8b3001c swi r5, r19, 28 538: 10c00000 addk r6, r0, r0 53c: e8b3001c lwi r5, r19, 28 540: b0000000 imm 0 544: b9f40350 brlid r15, 848 // 894 <__call_exitprocs> 548: 80000000 or r0, r0, r0 54c: b0000000 imm 0 550: e8601c9c lwi r3, r0, 7324 // 1c9c <_global_impure_ptr> 554: e8630028 lwi r3, r3, 40 558: bc030024 beqi r3, 36 // 57c 55c: b0000000 imm 0 560: e8601c9c lwi r3, r0, 7324 // 1c9c <_global_impure_ptr> 564: e8630028 lwi r3, r3, 40 568: b0000000 imm 0 56c: e8801c9c lwi r4, r0, 7324 // 1c9c <_global_impure_ptr> 570: 10a40000 addk r5, r4, r0 574: 99fc1800 brald r15, r3 578: 80000000 or r0, r0, r0 57c: e8b3001c lwi r5, r19, 28 580: b000ffff imm -1 584: b9f4fafc brlid r15, -1284 // 80 <_exit> 588: 80000000 or r0, r0, r0 0000058c : 58c: 3021ffe4 addik r1, r1, -28 590: fa610018 swi r19, r1, 24 594: 12610000 addk r19, r1, r0 598: f8b30020 swi r5, r19, 32 59c: f8d30024 swi r6, r19, 36 5a0: f8f30028 swi r7, r19, 40 5a4: e8730020 lwi r3, r19, 32 5a8: f8730004 swi r3, r19, 4 5ac: e8730024 lwi r3, r19, 36 5b0: a46300ff andi r3, r3, 255 5b4: f8730014 swi r3, r19, 20 5b8: b8000038 bri 56 // 5f0 5bc: e8730028 lwi r3, r19, 40 5c0: 3083ffff addik r4, r3, -1 5c4: f8930028 swi r4, r19, 40 5c8: bc030020 beqi r3, 32 // 5e8 5cc: e8730004 lwi r3, r19, 4 5d0: 30830001 addik r4, r3, 1 5d4: f8930004 swi r4, r19, 4 5d8: e8930024 lwi r4, r19, 36 5dc: 90840060 sext8 r4, r4 5e0: f0830000 sbi r4, r3, 0 5e4: b800000c bri 12 // 5f0 5e8: e8730020 lwi r3, r19, 32 5ec: b80001e4 bri 484 // 7d0 5f0: e8730004 lwi r3, r19, 4 5f4: a4630003 andi r3, r3, 3 5f8: bc23ffc4 bnei r3, -60 // 5bc 5fc: e8930028 lwi r4, r19, 40 600: 30600003 addik r3, r0, 3 604: 16441803 cmpu r18, r4, r3 608: bcb201b4 bgei r18, 436 // 7bc 60c: e8730004 lwi r3, r19, 4 610: f8730010 swi r3, r19, 16 614: e8730014 lwi r3, r19, 20 618: 10631800 addk r3, r3, r3 61c: 10631800 addk r3, r3, r3 620: 10631800 addk r3, r3, r3 624: 10631800 addk r3, r3, r3 628: 10631800 addk r3, r3, r3 62c: 10631800 addk r3, r3, r3 630: 10631800 addk r3, r3, r3 634: 10631800 addk r3, r3, r3 638: 10830000 addk r4, r3, r0 63c: e8730014 lwi r3, r19, 20 640: 80641800 or r3, r4, r3 644: f873000c swi r3, r19, 12 648: e873000c lwi r3, r19, 12 64c: 10631800 addk r3, r3, r3 650: 10631800 addk r3, r3, r3 654: 10631800 addk r3, r3, r3 658: 10631800 addk r3, r3, r3 65c: 10631800 addk r3, r3, r3 660: 10631800 addk r3, r3, r3 664: 10631800 addk r3, r3, r3 668: 10631800 addk r3, r3, r3 66c: 10631800 addk r3, r3, r3 670: 10631800 addk r3, r3, r3 674: 10631800 addk r3, r3, r3 678: 10631800 addk r3, r3, r3 67c: 10631800 addk r3, r3, r3 680: 10631800 addk r3, r3, r3 684: 10631800 addk r3, r3, r3 688: 10631800 addk r3, r3, r3 68c: 10830000 addk r4, r3, r0 690: e873000c lwi r3, r19, 12 694: 80632000 or r3, r3, r4 698: f873000c swi r3, r19, 12 69c: 30600020 addik r3, r0, 32 // 20 <_vector_hw_exception> 6a0: f8730008 swi r3, r19, 8 6a4: b8000040 bri 64 // 6e4 6a8: e8b3000c lwi r5, r19, 12 6ac: e8930008 lwi r4, r19, 8 6b0: a644001f andi r18, r4, 31 6b4: 10602800 addk r3, r0, r5 6b8: be120014 beqid r18, 20 // 6cc 6bc: 10630000 addk r3, r3, r0 6c0: 3252ffff addik r18, r18, -1 6c4: be32fffc bneid r18, -4 // 6c0 6c8: 10631800 addk r3, r3, r3 6cc: e893000c lwi r4, r19, 12 6d0: 80641800 or r3, r4, r3 6d4: f873000c swi r3, r19, 12 6d8: e8730008 lwi r3, r19, 8 6dc: 10631800 addk r3, r3, r3 6e0: f8730008 swi r3, r19, 8 6e4: e8930008 lwi r4, r19, 8 6e8: 3060001f addik r3, r0, 31 6ec: 16441803 cmpu r18, r4, r3 6f0: bcb2ffb8 bgei r18, -72 // 6a8 6f4: b8000060 bri 96 // 754 6f8: e8730010 lwi r3, r19, 16 6fc: 30830004 addik r4, r3, 4 700: f8930010 swi r4, r19, 16 704: e893000c lwi r4, r19, 12 708: f8830000 swi r4, r3, 0 70c: e8730010 lwi r3, r19, 16 710: 30830004 addik r4, r3, 4 714: f8930010 swi r4, r19, 16 718: e893000c lwi r4, r19, 12 71c: f8830000 swi r4, r3, 0 720: e8730010 lwi r3, r19, 16 724: 30830004 addik r4, r3, 4 728: f8930010 swi r4, r19, 16 72c: e893000c lwi r4, r19, 12 730: f8830000 swi r4, r3, 0 734: e8730010 lwi r3, r19, 16 738: 30830004 addik r4, r3, 4 73c: f8930010 swi r4, r19, 16 740: e893000c lwi r4, r19, 12 744: f8830000 swi r4, r3, 0 748: e8730028 lwi r3, r19, 40 74c: 3063fff0 addik r3, r3, -16 750: f8730028 swi r3, r19, 40 754: e8930028 lwi r4, r19, 40 758: 3060000f addik r3, r0, 15 75c: 16441803 cmpu r18, r4, r3 760: bc52ff98 blti r18, -104 // 6f8 764: b8000024 bri 36 // 788 768: e8730010 lwi r3, r19, 16 76c: 30830004 addik r4, r3, 4 770: f8930010 swi r4, r19, 16 774: e893000c lwi r4, r19, 12 778: f8830000 swi r4, r3, 0 77c: e8730028 lwi r3, r19, 40 780: 3063fffc addik r3, r3, -4 784: f8730028 swi r3, r19, 40 788: e8930028 lwi r4, r19, 40 78c: 30600003 addik r3, r0, 3 790: 16441803 cmpu r18, r4, r3 794: bc52ffd4 blti r18, -44 // 768 798: e8730010 lwi r3, r19, 16 79c: f8730004 swi r3, r19, 4 7a0: b800001c bri 28 // 7bc 7a4: e8730004 lwi r3, r19, 4 7a8: 30830001 addik r4, r3, 1 7ac: f8930004 swi r4, r19, 4 7b0: e8930024 lwi r4, r19, 36 7b4: 90840060 sext8 r4, r4 7b8: f0830000 sbi r4, r3, 0 7bc: e8730028 lwi r3, r19, 40 7c0: 3083ffff addik r4, r3, -1 7c4: f8930028 swi r4, r19, 40 7c8: bc23ffdc bnei r3, -36 // 7a4 7cc: e8730020 lwi r3, r19, 32 7d0: 10330000 addk r1, r19, r0 7d4: ea610018 lwi r19, r1, 24 7d8: 3021001c addik r1, r1, 28 7dc: b60f0008 rtsd r15, 8 7e0: 80000000 or r0, r0, r0 000007e4 : 7e4: 3021fff0 addik r1, r1, -16 7e8: fa61000c swi r19, r1, 12 7ec: 12610000 addk r19, r1, r0 7f0: f8b30014 swi r5, r19, 20 7f4: e8730014 lwi r3, r19, 20 7f8: f8730008 swi r3, r19, 8 7fc: e8730014 lwi r3, r19, 20 800: a4630003 andi r3, r3, 3 804: bc230060 bnei r3, 96 // 864 808: e8730014 lwi r3, r19, 20 80c: f8730004 swi r3, r19, 4 810: b8000010 bri 16 // 820 814: e8730004 lwi r3, r19, 4 818: 30630004 addik r3, r3, 4 81c: f8730004 swi r3, r19, 4 820: e8730004 lwi r3, r19, 4 824: e8630000 lwi r3, r3, 0 828: b000fefe imm -258 82c: 3083feff addik r4, r3, -257 830: e8730004 lwi r3, r19, 4 834: e8630000 lwi r3, r3, 0 838: a863ffff xori r3, r3, -1 83c: 84641800 and r3, r4, r3 840: b0008080 imm -32640 844: a4638080 andi r3, r3, -32640 848: bc03ffcc beqi r3, -52 // 814 84c: e8730004 lwi r3, r19, 4 850: f8730014 swi r3, r19, 20 854: b8000010 bri 16 // 864 858: e8730014 lwi r3, r19, 20 85c: 30630001 addik r3, r3, 1 860: f8730014 swi r3, r19, 20 864: e8730014 lwi r3, r19, 20 868: e0630000 lbui r3, r3, 0 86c: 90630060 sext8 r3, r3 870: bc23ffe8 bnei r3, -24 // 858 874: e8930014 lwi r4, r19, 20 878: e8730008 lwi r3, r19, 8 87c: 14632000 rsubk r3, r3, r4 880: 10330000 addk r1, r19, r0 884: ea61000c lwi r19, r1, 12 888: 30210010 addik r1, r1, 16 88c: b60f0008 rtsd r15, 8 890: 80000000 or r0, r0, r0 00000894 <__call_exitprocs>: 894: 3021ffc4 addik r1, r1, -60 898: f9e10000 swi r15, r1, 0 89c: fa61002c swi r19, r1, 44 8a0: fac10030 swi r22, r1, 48 8a4: fae10034 swi r23, r1, 52 8a8: fb010038 swi r24, r1, 56 8ac: 12610000 addk r19, r1, r0 8b0: f8b30040 swi r5, r19, 64 8b4: f8d30044 swi r6, r19, 68 8b8: b0000000 imm 0 8bc: e8601c9c lwi r3, r0, 7324 // 1c9c <_global_impure_ptr> 8c0: eac30048 lwi r22, r3, 72 8c4: b0000000 imm 0 8c8: e8601c9c lwi r3, r0, 7324 // 1c9c <_global_impure_ptr> 8cc: 30630048 addik r3, r3, 72 8d0: f873001c swi r3, r19, 28 8d4: b80001d4 bri 468 // aa8 8d8: eb160088 lwi r24, r22, 136 8dc: e8760004 lwi r3, r22, 4 8e0: 32e3ffff addik r23, r3, -1 8e4: b8000160 bri 352 // a44 8e8: 30800001 addik r4, r0, 1 8ec: a657001f andi r18, r23, 31 8f0: 10602000 addk r3, r0, r4 8f4: be120014 beqid r18, 20 // 908 8f8: 10630000 addk r3, r3, r0 8fc: 3252ffff addik r18, r18, -1 900: be32fffc bneid r18, -4 // 8fc 904: 10631800 addk r3, r3, r3 908: f8730020 swi r3, r19, 32 90c: e8730044 lwi r3, r19, 68 910: bc030028 beqi r3, 40 // 938 914: bc180120 beqi r24, 288 // a34 918: 30770020 addik r3, r23, 32 91c: 10631800 addk r3, r3, r3 920: 10631800 addk r3, r3, r3 924: 10781800 addk r3, r24, r3 928: e8830000 lwi r4, r3, 0 92c: e8730044 lwi r3, r19, 68 930: 88641800 xor r3, r4, r3 934: bc230100 bnei r3, 256 // a34 938: 30770002 addik r3, r23, 2 93c: 10631800 addk r3, r3, r3 940: 10631800 addk r3, r3, r3 944: 10761800 addk r3, r22, r3 948: e8630000 lwi r3, r3, 0 94c: f8730024 swi r3, r19, 36 950: e8760004 lwi r3, r22, 4 954: 3063ffff addik r3, r3, -1 958: 8863b800 xor r3, r3, r23 95c: bc230014 bnei r3, 20 // 970 960: e8760004 lwi r3, r22, 4 964: 3063ffff addik r3, r3, -1 968: f8760004 swi r3, r22, 4 96c: b8000018 bri 24 // 984 970: 30770002 addik r3, r23, 2 974: 10631800 addk r3, r3, r3 978: 10631800 addk r3, r3, r3 97c: 10761800 addk r3, r22, r3 980: f8030000 swi r0, r3, 0 984: e8730024 lwi r3, r19, 36 988: bc0300b4 beqi r3, 180 // a3c 98c: e8760004 lwi r3, r22, 4 990: f8730028 swi r3, r19, 40 994: bc180014 beqi r24, 20 // 9a8 998: e8980100 lwi r4, r24, 256 99c: e8730020 lwi r3, r19, 32 9a0: 84641800 and r3, r4, r3 9a4: bc230014 bnei r3, 20 // 9b8 9a8: e8730024 lwi r3, r19, 36 9ac: 99fc1800 brald r15, r3 9b0: 80000000 or r0, r0, r0 9b4: b800005c bri 92 // a10 9b8: e8980104 lwi r4, r24, 260 9bc: e8730020 lwi r3, r19, 32 9c0: 84641800 and r3, r4, r3 9c4: bc23002c bnei r3, 44 // 9f0 9c8: 1077b800 addk r3, r23, r23 9cc: 10631800 addk r3, r3, r3 9d0: 10781800 addk r3, r24, r3 9d4: e8830000 lwi r4, r3, 0 9d8: e8730024 lwi r3, r19, 36 9dc: 10c40000 addk r6, r4, r0 9e0: e8b30040 lwi r5, r19, 64 9e4: 99fc1800 brald r15, r3 9e8: 80000000 or r0, r0, r0 9ec: b8000024 bri 36 // a10 9f0: 1077b800 addk r3, r23, r23 9f4: 10631800 addk r3, r3, r3 9f8: 10781800 addk r3, r24, r3 9fc: e8830000 lwi r4, r3, 0 a00: e8730024 lwi r3, r19, 36 a04: 10a40000 addk r5, r4, r0 a08: 99fc1800 brald r15, r3 a0c: 80000000 or r0, r0, r0 a10: e8960004 lwi r4, r22, 4 a14: e8730028 lwi r3, r19, 40 a18: 88641800 xor r3, r4, r3 a1c: bc23fe9c bnei r3, -356 // 8b8 a20: e873001c lwi r3, r19, 28 a24: e8630000 lwi r3, r3, 0 a28: 8863b000 xor r3, r3, r22 a2c: bc23fe8c bnei r3, -372 // 8b8 a30: b8000010 bri 16 // a40 a34: 80000000 or r0, r0, r0 a38: b8000008 bri 8 // a40 a3c: 80000000 or r0, r0, r0 a40: 32f7ffff addik r23, r23, -1 a44: bcb7fea4 bgei r23, -348 // 8e8 a48: b0000000 imm 0 a4c: 30600000 addik r3, r0, 0 a50: bc030060 beqi r3, 96 // ab0 a54: e8760004 lwi r3, r22, 4 a58: bc230048 bnei r3, 72 // aa0 a5c: e8760000 lwi r3, r22, 0 a60: bc030040 beqi r3, 64 // aa0 a64: e8960000 lwi r4, r22, 0 a68: e873001c lwi r3, r19, 28 a6c: f8830000 swi r4, r3, 0 a70: bc180014 beqi r24, 20 // a84 a74: 10b80000 addk r5, r24, r0 a78: b000ffff imm -1 a7c: b9f4f584 brlid r15, -2684 // 0 <_start> a80: 80000000 or r0, r0, r0 a84: 10b60000 addk r5, r22, r0 a88: b000ffff imm -1 a8c: b9f4f574 brlid r15, -2700 // 0 <_start> a90: 80000000 or r0, r0, r0 a94: e873001c lwi r3, r19, 28 a98: eac30000 lwi r22, r3, 0 a9c: b800000c bri 12 // aa8 aa0: fad3001c swi r22, r19, 28 aa4: ead60000 lwi r22, r22, 0 aa8: bc36fe30 bnei r22, -464 // 8d8 aac: b8000008 bri 8 // ab4 ab0: 80000000 or r0, r0, r0 ab4: 80000000 or r0, r0, r0 ab8: e9e10000 lwi r15, r1, 0 abc: 10330000 addk r1, r19, r0 ac0: ea61002c lwi r19, r1, 44 ac4: eac10030 lwi r22, r1, 48 ac8: eae10034 lwi r23, r1, 52 acc: eb010038 lwi r24, r1, 56 ad0: 3021003c addik r1, r1, 60 ad4: b60f0008 rtsd r15, 8 ad8: 80000000 or r0, r0, r0 00000adc <_exception_handler>: adc: 98085800 bra r11 00000ae0 <_program_clean>: ae0: b60f0008 rtsd r15, 8 ae4: 80000000 or r0, r0, r0 00000ae8 <_program_init>: ae8: b60f0008 rtsd r15, 8 aec: 80000000 or r0, r0, r0 00000af0 <__do_global_ctors_aux>: af0: b0000000 imm 0 af4: e86019b8 lwi r3, r0, 6584 // 19b8 <__CTOR_LIST__> af8: a883ffff xori r4, r3, -1 afc: bc04003c beqi r4, 60 // b38 b00: 3021fff8 addik r1, r1, -8 b04: fa610004 swi r19, r1, 4 b08: b0000000 imm 0 b0c: 326019b8 addik r19, r0, 6584 // 19b8 <__CTOR_LIST__> b10: f9e10000 swi r15, r1, 0 b14: 99fc1800 brald r15, r3 b18: 3273fffc addik r19, r19, -4 b1c: e8730000 lwi r3, r19, 0 b20: a883ffff xori r4, r3, -1 b24: be24fff0 bneid r4, -16 // b14 b28: e9e10000 lwi r15, r1, 0 b2c: ea610004 lwi r19, r1, 4 b30: b60f0008 rtsd r15, 8 b34: 30210008 addik r1, r1, 8 b38: b60f0008 rtsd r15, 8 b3c: 80000000 or r0, r0, r0 00000b40 : Xil_ICacheDisable(); } void init_platform() { b40: 3021fffc addik r1, r1, -4 b44: f9e10000 swi r15, r1, 0 // transactions to external memory // Con - This might not generate a burst cacheline request //Xil_DCacheEnableRegion(CACHEABLE_REGION_MASK); #elif __MICROBLAZE__ #ifdef XPAR_MICROBLAZE_USE_ICACHE Xil_ICacheEnable(); b48: b000ffff imm -1 b4c: b9f4f860 brlid r15, -1952 // 3ac b50: 80000000 or r0, r0, r0 /* if we have a uart 16550, then that needs to be initialized */ #ifdef STDOUT_IS_16550 XUartNs550_SetBaud(STDOUT_BASEADDR, XPAR_XUARTNS550_CLOCK_HZ, 9600); XUartNs550_SetLineControlReg(STDOUT_BASEADDR, XUN_LCR_8_DATA_BITS); #endif } b54: e9e10000 lwi r15, r1, 0 b58: b60f0008 rtsd r15, 8 b5c: 30210004 addik r1, r1, 4 00000b60
: int Status; register int zero = 0; register int one = 1; /* Initialize the GPIO driver */ Status = XGpio_Initialize(&Gpio, 0); b60: b0000000 imm 0 b64: 30a01f54 addik r5, r0, 8020 // 1f54 #include "xgpio.h" XGpio Gpio; /* The Instance of the GPIO Driver */ int main(void) { b68: 3021ffe4 addik r1, r1, -28 b6c: f9e10000 swi r15, r1, 0 int Status; register int zero = 0; register int one = 1; /* Initialize the GPIO driver */ Status = XGpio_Initialize(&Gpio, 0); b70: b0000000 imm 0 b74: b9f401c4 brlid r15, 452 // d38 b78: 10c00000 addk r6, r0, r0 if (Status != XST_SUCCESS) { b7c: be230040 bneid r3, 64 // bbc b80: 30e0fffe addik r7, r0, -2 xil_printf("Gpio Initialization Failed\r\n"); return XST_FAILURE; } /* Set the direction for all signals as inputs except the LED output */ XGpio_SetDataDirection(&Gpio, 1, ~0x01); b84: b0000000 imm 0 b88: 30a01f54 addik r5, r0, 8020 // 1f54 b8c: b0000000 imm 0 b90: b9f400bc brlid r15, 188 // c4c b94: 30c00001 addik r6, r0, 1 init_platform(); b98: b000ffff imm -1 b9c: b9f4ffa4 brlid r15, -92 // b40 ba0: 80000000 or r0, r0, r0 volatile unsigned* const pins = (volatile unsigned* const) 0x40000000; for(;;){ //pins[0] = pins[0] ^ 0x01; *pins = zero; ba4: b0004000 imm 16384 ba8: f8000000 swi r0, r0, 0 *pins = one; bac: 30600001 addik r3, r0, 1 bb0: b0004000 imm 16384 bb4: f8600000 swi r3, r0, 0 bb8: b800ffec bri -20 // ba4 register int zero = 0; register int one = 1; /* Initialize the GPIO driver */ Status = XGpio_Initialize(&Gpio, 0); if (Status != XST_SUCCESS) { xil_printf("Gpio Initialization Failed\r\n"); bbc: b0000000 imm 0 bc0: 30a019c8 addik r5, r0, 6600 // 19c8 <__rodata_start> bc4: b0000000 imm 0 bc8: b9f40634 brlid r15, 1588 // 11fc bcc: 80000000 or r0, r0, r0 //pins[0] = pins[0] ^ 0x01; *pins = zero; *pins = one; } cleanup_platform(); } bd0: e9e10000 lwi r15, r1, 0 bd4: 30600001 addik r3, r0, 1 bd8: b60f0008 rtsd r15, 8 bdc: 3021001c addik r1, r1, 28 00000be0 : be0: bc050034 beqi r5, 52 // c14 be4: e8660008 lwi r3, r6, 8 be8: e8c6000c lwi r6, r6, 12 bec: b0000000 imm 0 bf0: f8001f64 swi r0, r0, 8036 // 1f64 bf4: f8650008 swi r3, r5, 8 bf8: b0001111 imm 4369 bfc: 30601111 addik r3, r0, 4369 c00: f8e50000 swi r7, r5, 0 c04: f8c5000c swi r6, r5, 12 c08: f8650004 swi r3, r5, 4 c0c: b60f0008 rtsd r15, 8 c10: 10600000 addk r3, r0, r0 c14: b0000000 imm 0 c18: 30a019e8 addik r5, r0, 6632 c1c: 3021ffe4 addik r1, r1, -28 c20: f9e10000 swi r15, r1, 0 c24: b0000000 imm 0 c28: b9f40244 brlid r15, 580 // e6c c2c: 30c0007d addik r6, r0, 125 c30: e9e10000 lwi r15, r1, 0 c34: 30600001 addik r3, r0, 1 c38: b0000000 imm 0 c3c: f8601f64 swi r3, r0, 8036 // 1f64 c40: 10600000 addk r3, r0, r0 c44: b60f0008 rtsd r15, 8 c48: 3021001c addik r1, r1, 28 00000c4c : c4c: 3021ffe4 addik r1, r1, -28 c50: be0500c0 beqid r5, 192 // d10 c54: f9e10000 swi r15, r1, 0 c58: e8650004 lwi r3, r5, 4 c5c: b0000000 imm 0 c60: f8001f64 swi r0, r0, 8036 // 1f64 c64: b0001111 imm 4369 c68: a8631111 xori r3, r3, 4369 c6c: be23004c bneid r3, 76 // cb8 c70: a8660001 xori r3, r6, 1 c74: be030070 beqid r3, 112 // ce4 c78: a8660002 xori r3, r6, 2 c7c: bc230010 bnei r3, 16 // c8c c80: e865000c lwi r3, r5, 12 c84: a8630001 xori r3, r3, 1 c88: bc03005c beqi r3, 92 // ce4 c8c: b0000000 imm 0 c90: 30a019e8 addik r5, r0, 6632 c94: b0000000 imm 0 c98: b9f401d4 brlid r15, 468 // e6c c9c: 30c000a5 addik r6, r0, 165 ca0: 30600001 addik r3, r0, 1 ca4: b0000000 imm 0 ca8: f8601f64 swi r3, r0, 8036 // 1f64 cac: e9e10000 lwi r15, r1, 0 cb0: b60f0008 rtsd r15, 8 cb4: 3021001c addik r1, r1, 28 cb8: b0000000 imm 0 cbc: 30a019e8 addik r5, r0, 6632 cc0: b0000000 imm 0 cc4: b9f401a8 brlid r15, 424 // e6c cc8: 30c000a3 addik r6, r0, 163 ccc: e9e10000 lwi r15, r1, 0 cd0: 30600001 addik r3, r0, 1 cd4: b0000000 imm 0 cd8: f8601f64 swi r3, r0, 8036 // 1f64 cdc: b60f0008 rtsd r15, 8 ce0: 3021001c addik r1, r1, 28 ce4: b0001fff imm 8191 ce8: 30c6ffff addik r6, r6, -1 cec: 10c63000 addk r6, r6, r6 cf0: e8650000 lwi r3, r5, 0 cf4: 10c63000 addk r6, r6, r6 cf8: 10c63000 addk r6, r6, r6 cfc: 30c60004 addik r6, r6, 4 d00: d8e33000 sw r7, r3, r6 d04: e9e10000 lwi r15, r1, 0 d08: b60f0008 rtsd r15, 8 d0c: 3021001c addik r1, r1, 28 d10: b0000000 imm 0 d14: 30a019e8 addik r5, r0, 6632 d18: b0000000 imm 0 d1c: b9f40150 brlid r15, 336 // e6c d20: 30c000a2 addik r6, r0, 162 d24: 30600001 addik r3, r0, 1 d28: b0000000 imm 0 d2c: f8601f64 swi r3, r0, 8036 // 1f64 d30: b810ff80 brid -128 // cb0 d34: e9e10000 lwi r15, r1, 0 00000d38 : d38: 3021ffe0 addik r1, r1, -32 d3c: fa61001c swi r19, r1, 28 d40: f9e10000 swi r15, r1, 0 d44: be25003c bneid r5, 60 // d80 d48: 12650000 addk r19, r5, r0 d4c: b0000000 imm 0 d50: 30a019f0 addik r5, r0, 6640 d54: b0000000 imm 0 d58: b9f40114 brlid r15, 276 // e6c d5c: 30c0008f addik r6, r0, 143 d60: 30600001 addik r3, r0, 1 d64: b0000000 imm 0 d68: f8601f64 swi r3, r0, 8036 // 1f64 d6c: 10730000 addk r3, r19, r0 d70: e9e10000 lwi r15, r1, 0 d74: ea61001c lwi r19, r1, 28 d78: b60f0008 rtsd r15, 8 d7c: 30210020 addik r1, r1, 32 d80: b0000000 imm 0 d84: e4601ef4 lhui r3, r0, 7924 // 1ef4 d88: b0000000 imm 0 d8c: f8001f64 swi r0, r0, 8036 // 1f64 d90: 88c33000 xor r6, r3, r6 d94: bc060010 beqi r6, 16 // da4 d98: f8050004 swi r0, r5, 4 d9c: b810ffd4 brid -44 // d70 da0: 30600002 addik r3, r0, 2 da4: b0000000 imm 0 da8: e8e01ef8 lwi r7, r0, 7928 dac: b0000000 imm 0 db0: 30c01ef4 addik r6, r0, 7924 // 1ef4 db4: b000ffff imm -1 db8: b9f4fe28 brlid r15, -472 // be0 dbc: 80000000 or r0, r0, r0 dc0: b810ffb4 brid -76 // d74 dc4: e9e10000 lwi r15, r1, 0 00000dc8 <__interrupt_handler>: dc8: 3021ffac addik r1, r1, -84 dcc: f9e10000 swi r15, r1, 0 dd0: f8210020 swi r1, r1, 32 dd4: f8610024 swi r3, r1, 36 dd8: f8810028 swi r4, r1, 40 ddc: f8a1002c swi r5, r1, 44 de0: f8c10030 swi r6, r1, 48 de4: f8e10034 swi r7, r1, 52 de8: f9010038 swi r8, r1, 56 dec: f921003c swi r9, r1, 60 df0: f9410040 swi r10, r1, 64 df4: b0000000 imm 0 df8: 30601ee8 addik r3, r0, 7912 // 1ee8 dfc: f9610044 swi r11, r1, 68 e00: f9810048 swi r12, r1, 72 e04: fa21004c swi r17, r1, 76 e08: 95608001 mfs r11, rmsr e0c: e8830000 lwi r4, r3, 0 e10: e8a30004 lwi r5, r3, 4 e14: fa410050 swi r18, r1, 80 e18: f961001c swi r11, r1, 28 e1c: 99fc2000 brald r15, r4 e20: 80000000 or r0, r0, r0 e24: e9e10000 lwi r15, r1, 0 e28: e961001c lwi r11, r1, 28 e2c: e8210020 lwi r1, r1, 32 e30: 940bc001 mts rmsr, r11 e34: e8610024 lwi r3, r1, 36 e38: e8810028 lwi r4, r1, 40 e3c: e8a1002c lwi r5, r1, 44 e40: e8c10030 lwi r6, r1, 48 e44: e8e10034 lwi r7, r1, 52 e48: e9010038 lwi r8, r1, 56 e4c: e921003c lwi r9, r1, 60 e50: e9410040 lwi r10, r1, 64 e54: e9610044 lwi r11, r1, 68 e58: e9810048 lwi r12, r1, 72 e5c: ea21004c lwi r17, r1, 76 e60: ea410050 lwi r18, r1, 80 e64: b62e0000 rtid r14, 0 e68: 30210054 addik r1, r1, 84 00000e6c : e6c: b0000000 imm 0 e70: e8601f50 lwi r3, r0, 8016 // 1f50 e74: bc030030 beqi r3, 48 // ea4 e78: 3021ffe4 addik r1, r1, -28 e7c: f9e10000 swi r15, r1, 0 e80: 99fc1800 brald r15, r3 e84: 80000000 or r0, r0, r0 e88: b0000000 imm 0 e8c: e8601ef0 lwi r3, r0, 7920 // 1ef0 e90: be03000c beqid r3, 12 // e9c e94: e9e10000 lwi r15, r1, 0 e98: b8000000 bri 0 // e98 e9c: b60f0008 rtsd r15, 8 ea0: 3021001c addik r1, r1, 28 ea4: b0000000 imm 0 ea8: e8601ef0 lwi r3, r0, 7920 // 1ef0 eac: bc030008 beqi r3, 8 // eb4 eb0: b8000000 bri 0 // eb0 eb4: b60f0008 rtsd r15, 8 eb8: 80000000 or r0, r0, r0 00000ebc : ebc: b60f0008 rtsd r15, 8 ec0: 80000000 or r0, r0, r0 00000ec4 : ec4: b0000000 imm 0 ec8: e8601a00 lwi r3, r0, 6656 ecc: 3021ff98 addik r1, r1, -104 ed0: b0000000 imm 0 ed4: e8801a04 lwi r4, r0, 6660 ed8: f861003c swi r3, r1, 60 edc: b0000000 imm 0 ee0: e8601a08 lwi r3, r0, 6664 ee4: f8810040 swi r4, r1, 64 ee8: b0000000 imm 0 eec: e8801a0c lwi r4, r0, 6668 ef0: f8610044 swi r3, r1, 68 ef4: b0000000 imm 0 ef8: e0601a10 lbui r3, r0, 6672 efc: fb210060 swi r25, r1, 96 f00: 13270000 addk r25, r7, r0 f04: fac10054 swi r22, r1, 84 f08: fb01005c swi r24, r1, 92 f0c: 12c50000 addk r22, r5, r0 f10: 13060000 addk r24, r6, r0 f14: 30a1001c addik r5, r1, 28 f18: 30e00020 addik r7, r0, 32 // 20 <_vector_hw_exception> f1c: 30c00030 addik r6, r0, 48 f20: f9e10000 swi r15, r1, 0 f24: fa610050 swi r19, r1, 80 f28: fae10058 swi r23, r1, 88 f2c: fb410064 swi r26, r1, 100 f30: f8810048 swi r4, r1, 72 f34: b000ffff imm -1 f38: b9f4f654 brlid r15, -2476 // 58c f3c: f061004c sbi r3, r1, 76 f40: e8790018 lwi r3, r25, 24 f44: be230264 bneid r3, 612 // 11a8 f48: a898000a xori r4, r24, 10 f4c: 14640000 rsubk r3, r4, r0 f50: 80632000 or r3, r3, r4 f54: bc430254 blti r3, 596 // 11a8 f58: bcb60250 bgei r22, 592 // 11a8 f5c: 16d60000 rsubk r22, r22, r0 f60: 33400001 addik r26, r0, 1 f64: b810000c brid 12 // f70 f68: 12e00000 addk r23, r0, r0 f6c: 12f30000 addk r23, r19, r0 f70: 10b60000 addk r5, r22, r0 f74: 10d80000 addk r6, r24, r0 f78: b000ffff imm -1 f7c: b9f4f4ec brlid r15, -2836 // 468 <__umodsi3> f80: 32770001 addik r19, r23, 1 f84: 3081001c addik r4, r1, 28 f88: 10641800 addk r3, r4, r3 f8c: e0630020 lbui r3, r3, 32 f90: 10b60000 addk r5, r22, r0 f94: 10d80000 addk r6, r24, r0 f98: 1081b800 addk r4, r1, r23 f9c: b000ffff imm -1 fa0: b9f4f420 brlid r15, -3040 // 3c0 <__udivsi3> fa4: f064001c sbi r3, r4, 28 fa8: be23ffc4 bneid r3, -60 // f6c fac: 12c30000 addk r22, r3, r0 fb0: be1a001c beqid r26, 28 // fcc fb4: 10619800 addk r3, r1, r19 fb8: 12619800 addk r19, r1, r19 fbc: 3060002d addik r3, r0, 45 fc0: f073001c sbi r3, r19, 28 fc4: 32770002 addik r19, r23, 2 fc8: 10619800 addk r3, r1, r19 fcc: 30a1001c addik r5, r1, 28 fd0: b000ffff imm -1 fd4: b9f4f810 brlid r15, -2032 // 7e4 fd8: f003001c sbi r0, r3, 28 fdc: e8990014 lwi r4, r25, 20 fe0: 12c30000 addk r22, r3, r0 fe4: f8790000 swi r3, r25, 0 fe8: 14640000 rsubk r3, r4, r0 fec: 80632000 or r3, r3, r4 ff0: be430098 bltid r3, 152 // 1088 ff4: 3273ffff addik r19, r19, -1 ff8: e8990010 lwi r4, r25, 16 ffc: 14640000 rsubk r3, r4, r0 1000: 80632000 or r3, r3, r4 1004: 10801800 addk r4, r0, r3 1008: 90830041 srl r4, r3 100c: 90840041 srl r4, r4 1010: 90840041 srl r4, r4 1014: 90840041 srl r4, r4 1018: 90840041 srl r4, r4 101c: 90840041 srl r4, r4 1020: 90840041 srl r4, r4 1024: 90840041 srl r4, r4 1028: 90840041 srl r4, r4 102c: 90840041 srl r4, r4 1030: 90840041 srl r4, r4 1034: 90840041 srl r4, r4 1038: 90840041 srl r4, r4 103c: 90840041 srl r4, r4 1040: 90840041 srl r4, r4 1044: 90840041 srl r4, r4 1048: 90840041 srl r4, r4 104c: 90840041 srl r4, r4 1050: 90840041 srl r4, r4 1054: 90840041 srl r4, r4 1058: 90840041 srl r4, r4 105c: 90840041 srl r4, r4 1060: 90840041 srl r4, r4 1064: 90840041 srl r4, r4 1068: 90840041 srl r4, r4 106c: 90840041 srl r4, r4 1070: 90840041 srl r4, r4 1074: 90840041 srl r4, r4 1078: 90840041 srl r4, r4 107c: 90840041 srl r4, r4 1080: 90840041 srl r4, r4 1084: bc240140 bnei r4, 320 // 11c4 1088: 10619800 addk r3, r1, r19 108c: e0a3001c lbui r5, r3, 28 1090: 3273ffff addik r19, r19, -1 1094: b0000000 imm 0 1098: b9f4087c brlid r15, 2172 // 1914 109c: 90a50060 sext8 r5, r5 10a0: a873ffff xori r3, r19, -1 10a4: be23ffe8 bneid r3, -24 // 108c 10a8: 10619800 addk r3, r1, r19 10ac: e8990014 lwi r4, r25, 20 10b0: 14640000 rsubk r3, r4, r0 10b4: 80632000 or r3, r3, r4 10b8: bea300d0 bgeid r3, 208 // 1188 10bc: e9e10000 lwi r15, r1, 0 10c0: e8990010 lwi r4, r25, 16 10c4: 14640000 rsubk r3, r4, r0 10c8: 80632000 or r3, r3, r4 10cc: 10801800 addk r4, r0, r3 10d0: 90830041 srl r4, r3 10d4: 90840041 srl r4, r4 10d8: 90840041 srl r4, r4 10dc: 90840041 srl r4, r4 10e0: 90840041 srl r4, r4 10e4: 90840041 srl r4, r4 10e8: 90840041 srl r4, r4 10ec: 90840041 srl r4, r4 10f0: 90840041 srl r4, r4 10f4: 90840041 srl r4, r4 10f8: 90840041 srl r4, r4 10fc: 90840041 srl r4, r4 1100: 90840041 srl r4, r4 1104: 90840041 srl r4, r4 1108: 90840041 srl r4, r4 110c: 90840041 srl r4, r4 1110: 90840041 srl r4, r4 1114: 90840041 srl r4, r4 1118: 90840041 srl r4, r4 111c: 90840041 srl r4, r4 1120: 90840041 srl r4, r4 1124: 90840041 srl r4, r4 1128: 90840041 srl r4, r4 112c: 90840041 srl r4, r4 1130: 90840041 srl r4, r4 1134: 90840041 srl r4, r4 1138: 90840041 srl r4, r4 113c: 90840041 srl r4, r4 1140: 90840041 srl r4, r4 1144: 90840041 srl r4, r4 1148: 90840041 srl r4, r4 114c: be040040 beqid r4, 64 // 118c 1150: ea610050 lwi r19, r1, 80 1154: ea790000 lwi r19, r25, 0 1158: e8790004 lwi r3, r25, 4 115c: 16439801 cmp r18, r3, r19 1160: bcb20028 bgei r18, 40 // 1188 1164: e0b9000c lbui r5, r25, 12 1168: 32730001 addik r19, r19, 1 116c: b0000000 imm 0 1170: b9f407a4 brlid r15, 1956 // 1914 1174: 90a50060 sext8 r5, r5 1178: e8790004 lwi r3, r25, 4 117c: 16439801 cmp r18, r3, r19 1180: be52ffe4 bltid r18, -28 // 1164 1184: e9e10000 lwi r15, r1, 0 1188: ea610050 lwi r19, r1, 80 118c: eac10054 lwi r22, r1, 84 1190: eae10058 lwi r23, r1, 88 1194: eb01005c lwi r24, r1, 92 1198: eb210060 lwi r25, r1, 96 119c: eb410064 lwi r26, r1, 100 11a0: b60f0008 rtsd r15, 8 11a4: 30210068 addik r1, r1, 104 11a8: b810fdbc brid -580 // f64 11ac: 13400000 addk r26, r0, r0 11b0: e0b9000c lbui r5, r25, 12 11b4: 32d60001 addik r22, r22, 1 11b8: b0000000 imm 0 11bc: b9f40758 brlid r15, 1880 // 1914 11c0: 90a50060 sext8 r5, r5 11c4: e8790004 lwi r3, r25, 4 11c8: 1643b001 cmp r18, r3, r22 11cc: be52ffe4 bltid r18, -28 // 11b0 11d0: 10619800 addk r3, r1, r19 11d4: e0a3001c lbui r5, r3, 28 11d8: 3273ffff addik r19, r19, -1 11dc: b0000000 imm 0 11e0: b9f40734 brlid r15, 1844 // 1914 11e4: 90a50060 sext8 r5, r5 11e8: a873ffff xori r3, r19, -1 11ec: be23fea0 bneid r3, -352 // 108c 11f0: 10619800 addk r3, r1, r19 11f4: b810febc brid -324 // 10b0 11f8: e8990014 lwi r4, r25, 20 000011fc : 11fc: bc05057c beqi r5, 1404 // 1778 1200: f8a10004 swi r5, r1, 4 1204: f8c10008 swi r6, r1, 8 1208: f8e1000c swi r7, r1, 12 120c: f9010010 swi r8, r1, 16 1210: f9210014 swi r9, r1, 20 1214: f9410018 swi r10, r1, 24 1218: 3021ffb4 addik r1, r1, -76 121c: fac1003c swi r22, r1, 60 1220: fb210048 swi r25, r1, 72 1224: f9e10000 swi r15, r1, 0 1228: fa610038 swi r19, r1, 56 122c: fae10040 swi r23, r1, 64 1230: fb010044 swi r24, r1, 68 1234: e0650000 lbui r3, r5, 0 1238: 13250000 addk r25, r5, r0 123c: 90a30060 sext8 r5, r3 1240: be050024 beqid r5, 36 // 1264 1244: 32c10054 addik r22, r1, 84 1248: aae50025 xori r23, r5, 37 124c: be170060 beqid r23, 96 // 12ac 1250: 30600020 addik r3, r0, 32 // 20 <_vector_hw_exception> 1254: b0000000 imm 0 1258: b9f406bc brlid r15, 1724 // 1914 125c: 33390001 addik r25, r25, 1 1260: bc390034 bnei r25, 52 // 1294 1264: e9e10000 lwi r15, r1, 0 1268: ea610038 lwi r19, r1, 56 126c: eac1003c lwi r22, r1, 60 1270: eae10040 lwi r23, r1, 64 1274: eb010044 lwi r24, r1, 68 1278: eb210048 lwi r25, r1, 72 127c: b60f0008 rtsd r15, 8 1280: 3021004c addik r1, r1, 76 1284: b0000000 imm 0 1288: b9f4068c brlid r15, 1676 // 1914 128c: 30a00025 addik r5, r0, 37 1290: 33390002 addik r25, r25, 2 1294: e0b90000 lbui r5, r25, 0 1298: 90a50060 sext8 r5, r5 129c: be05ffc8 beqid r5, -56 // 1264 12a0: aae50025 xori r23, r5, 37 12a4: be37ffb0 bneid r23, -80 // 1254 12a8: 30600020 addik r3, r0, 32 // 20 <_vector_hw_exception> 12ac: f0610028 sbi r3, r1, 40 12b0: 30607fff addik r3, r0, 32767 12b4: fae10034 swi r23, r1, 52 12b8: fae10030 swi r23, r1, 48 12bc: fae1002c swi r23, r1, 44 12c0: f8610024 swi r3, r1, 36 12c4: fae10020 swi r23, r1, 32 12c8: fae1001c swi r23, r1, 28 12cc: 33000053 addik r24, r0, 83 12d0: 32600068 addik r19, r0, 104 12d4: 30990001 addik r4, r25, 1 12d8: bc040088 beqi r4, 136 // 1360 12dc: e0b90001 lbui r5, r25, 1 12e0: b0000000 imm 0 12e4: e9001df0 lwi r8, r0, 7664 // 1df0 <_SDA2_BASE_> 12e8: 90a50060 sext8 r5, r5 12ec: 30c50001 addik r6, r5, 1 12f0: c0664000 lbu r3, r6, r8 12f4: a4e30004 andi r7, r3, 4 12f8: be070098 beqid r7, 152 // 1390 12fc: a4630003 andi r3, r3, 3 1300: be370374 bneid r23, 884 // 1674 1304: a8650030 xori r3, r5, 48 1308: be030060 beqid r3, 96 // 1368 130c: 30600030 addik r3, r0, 48 1310: 10c00000 addk r6, r0, r0 1314: 30840001 addik r4, r4, 1 1318: e0e40000 lbui r7, r4, 0 131c: 3125ffd0 addik r9, r5, -48 1320: 10663000 addk r3, r6, r6 1324: 90a70060 sext8 r5, r7 1328: 10e82800 addk r7, r8, r5 132c: e0e70001 lbui r7, r7, 1 1330: 10631800 addk r3, r3, r3 1334: 10633000 addk r3, r3, r6 1338: 10631800 addk r3, r3, r3 133c: a4e70004 andi r7, r7, 4 1340: be27ffd4 bneid r7, -44 // 1314 1344: 10c91800 addk r6, r9, r3 1348: 30600001 addik r3, r0, 1 134c: f861002c swi r3, r1, 44 1350: f8c10020 swi r6, r1, 32 1354: 3324ffff addik r25, r4, -1 1358: be39ff80 bneid r25, -128 // 12d8 135c: 30990001 addik r4, r25, 1 1360: e0600000 lbui r3, r0, 0 1364: b8000000 bri 0 // 1364 1368: f0610028 sbi r3, r1, 40 136c: c0664000 lbu r3, r6, r8 1370: a4630004 andi r3, r3, 4 1374: be23ffa0 bneid r3, -96 // 1314 1378: 10c00000 addk r6, r0, r0 137c: 10d70000 addk r6, r23, r0 1380: 30600001 addik r3, r0, 1 1384: f861002c swi r3, r1, 44 1388: b810ffcc brid -52 // 1354 138c: f8c10020 swi r6, r1, 32 1390: a8630001 xori r3, r3, 1 1394: be03032c beqid r3, 812 // 16c0 1398: 10c50000 addk r6, r5, r0 139c: 3066ffdb addik r3, r6, -37 13a0: 1643c003 cmpu r18, r3, r24 13a4: bc52feec blti r18, -276 // 1290 13a8: 10c01800 addk r6, r0, r3 13ac: 10c31800 addk r6, r3, r3 13b0: 10c63000 addk r6, r6, r6 13b4: b0000000 imm 0 13b8: 30661ca0 addik r3, r6, 7328 13bc: e8630000 lwi r3, r3, 0 13c0: 98081800 bra r3 13c4: 30600001 addik r3, r0, 1 13c8: f8610034 swi r3, r1, 52 13cc: e8b60000 lwi r5, r22, 0 13d0: 30e1001c addik r7, r1, 28 13d4: 30c0000a addik r6, r0, 10 13d8: b000ffff imm -1 13dc: b9f4fae8 brlid r15, -1304 // ec4 13e0: 32d60004 addik r22, r22, 4 13e4: b810feb0 brid -336 // 1294 13e8: 33390002 addik r25, r25, 2 13ec: 13240000 addk r25, r4, r0 13f0: be39fee8 bneid r25, -280 // 12d8 13f4: 30990001 addik r4, r25, 1 13f8: b800ff68 bri -152 // 1360 13fc: ea760000 lwi r19, r22, 0 1400: be130018 beqid r19, 24 // 1418 1404: 32d60004 addik r22, r22, 4 1408: b000ffff imm -1 140c: b9f4f3d8 brlid r15, -3112 // 7e4 1410: 10b30000 addk r5, r19, r0 1414: f861001c swi r3, r1, 28 1418: e8810030 lwi r4, r1, 48 141c: 14640000 rsubk r3, r4, r0 1420: 80632000 or r3, r3, r4 1424: be4300c0 bltid r3, 192 // 14e4 1428: e881002c lwi r4, r1, 44 142c: 14640000 rsubk r3, r4, r0 1430: 80632000 or r3, r3, r4 1434: 10801800 addk r4, r0, r3 1438: 90830041 srl r4, r3 143c: 90840041 srl r4, r4 1440: 90840041 srl r4, r4 1444: 90840041 srl r4, r4 1448: 90840041 srl r4, r4 144c: 90840041 srl r4, r4 1450: 90840041 srl r4, r4 1454: 90840041 srl r4, r4 1458: 90840041 srl r4, r4 145c: 90840041 srl r4, r4 1460: 90840041 srl r4, r4 1464: 90840041 srl r4, r4 1468: 90840041 srl r4, r4 146c: 90840041 srl r4, r4 1470: 90840041 srl r4, r4 1474: 90840041 srl r4, r4 1478: 90840041 srl r4, r4 147c: 90840041 srl r4, r4 1480: 90840041 srl r4, r4 1484: 90840041 srl r4, r4 1488: 90840041 srl r4, r4 148c: 90840041 srl r4, r4 1490: 90840041 srl r4, r4 1494: 90840041 srl r4, r4 1498: 90840041 srl r4, r4 149c: 90840041 srl r4, r4 14a0: 90840041 srl r4, r4 14a4: 90840041 srl r4, r4 14a8: 90840041 srl r4, r4 14ac: 90840041 srl r4, r4 14b0: 90840041 srl r4, r4 14b4: be040030 beqid r4, 48 // 14e4 14b8: eae1001c lwi r23, r1, 28 14bc: b810026c brid 620 // 1728 14c0: e8610020 lwi r3, r1, 32 14c4: be03002c beqid r3, 44 // 14f0 14c8: 3063ffff addik r3, r3, -1 14cc: f8610024 swi r3, r1, 36 14d0: e0b30000 lbui r5, r19, 0 14d4: 32730001 addik r19, r19, 1 14d8: b0000000 imm 0 14dc: b9f40438 brlid r15, 1080 // 1914 14e0: 90a50060 sext8 r5, r5 14e4: e0730000 lbui r3, r19, 0 14e8: be23ffdc bneid r3, -36 // 14c4 14ec: e8610024 lwi r3, r1, 36 14f0: e881002c lwi r4, r1, 44 14f4: 14640000 rsubk r3, r4, r0 14f8: 80632000 or r3, r3, r4 14fc: bea3fd94 bgeid r3, -620 // 1290 1500: e8810030 lwi r4, r1, 48 1504: 14640000 rsubk r3, r4, r0 1508: 80632000 or r3, r3, r4 150c: 10801800 addk r4, r0, r3 1510: 90830041 srl r4, r3 1514: 90840041 srl r4, r4 1518: 90840041 srl r4, r4 151c: 90840041 srl r4, r4 1520: 90840041 srl r4, r4 1524: 90840041 srl r4, r4 1528: 90840041 srl r4, r4 152c: 90840041 srl r4, r4 1530: 90840041 srl r4, r4 1534: 90840041 srl r4, r4 1538: 90840041 srl r4, r4 153c: 90840041 srl r4, r4 1540: 90840041 srl r4, r4 1544: 90840041 srl r4, r4 1548: 90840041 srl r4, r4 154c: 90840041 srl r4, r4 1550: 90840041 srl r4, r4 1554: 90840041 srl r4, r4 1558: 90840041 srl r4, r4 155c: 90840041 srl r4, r4 1560: 90840041 srl r4, r4 1564: 90840041 srl r4, r4 1568: 90840041 srl r4, r4 156c: 90840041 srl r4, r4 1570: 90840041 srl r4, r4 1574: 90840041 srl r4, r4 1578: 90840041 srl r4, r4 157c: 90840041 srl r4, r4 1580: 90840041 srl r4, r4 1584: 90840041 srl r4, r4 1588: 90840041 srl r4, r4 158c: be04fd04 beqid r4, -764 // 1290 1590: ea61001c lwi r19, r1, 28 1594: e8610020 lwi r3, r1, 32 1598: 16439801 cmp r18, r3, r19 159c: beb2fcf4 bgeid r18, -780 // 1290 15a0: e0a10028 lbui r5, r1, 40 15a4: 32730001 addik r19, r19, 1 15a8: b0000000 imm 0 15ac: b9f40368 brlid r15, 872 // 1914 15b0: 90a50060 sext8 r5, r5 15b4: e8610020 lwi r3, r1, 32 15b8: 16439801 cmp r18, r3, r19 15bc: be52ffe8 bltid r18, -24 // 15a4 15c0: e0a10028 lbui r5, r1, 40 15c4: b810fcd0 brid -816 // 1294 15c8: 33390002 addik r25, r25, 2 15cc: e8b60000 lwi r5, r22, 0 15d0: 33390002 addik r25, r25, 2 15d4: 32d60004 addik r22, r22, 4 15d8: b0000000 imm 0 15dc: b9f40338 brlid r15, 824 // 1914 15e0: 90a50060 sext8 r5, r5 15e4: b810fcb4 brid -844 // 1298 15e8: e0b90000 lbui r5, r25, 0 15ec: 13240000 addk r25, r4, r0 15f0: 30600001 addik r3, r0, 1 15f4: be39fce0 bneid r25, -800 // 12d4 15f8: f8610030 swi r3, r1, 48 15fc: b800fd64 bri -668 // 1360 1600: e8b60000 lwi r5, r22, 0 1604: 30600001 addik r3, r0, 1 1608: 30e1001c addik r7, r1, 28 160c: 30c00010 addik r6, r0, 16 // 10 <_vector_interrupt> 1610: f8610034 swi r3, r1, 52 1614: b000ffff imm -1 1618: b9f4f8ac brlid r15, -1876 // ec4 161c: 32d60004 addik r22, r22, 4 1620: b810fc74 brid -908 // 1294 1624: 33390002 addik r25, r25, 2 1628: 13240000 addk r25, r4, r0 162c: be39fca8 bneid r25, -856 // 12d4 1630: 32e00001 addik r23, r0, 1 1634: b800fd2c bri -724 // 1360 1638: a8650068 xori r3, r5, 104 163c: bc0300a8 beqi r3, 168 // 16e4 1640: 16459801 cmp r18, r5, r19 1644: beb20084 bgeid r18, 132 // 16c8 1648: a865006e xori r3, r5, 110 164c: be0300bc beqid r3, 188 // 1708 1650: a8650072 xori r3, r5, 114 1654: bc2300a4 bnei r3, 164 // 16f8 1658: b0000000 imm 0 165c: b9f402b8 brlid r15, 696 // 1914 1660: 30a0000d addik r5, r0, 13 1664: 33390002 addik r25, r25, 2 1668: be39fc70 bneid r25, -912 // 12d8 166c: 30990001 addik r4, r25, 1 1670: b800fcf0 bri -784 // 1360 1674: 10e00000 addk r7, r0, r0 1678: e0a40000 lbui r5, r4, 0 167c: 30840001 addik r4, r4, 1 1680: 10673800 addk r3, r7, r7 1684: e0c40000 lbui r6, r4, 0 1688: 10631800 addk r3, r3, r3 168c: 10633800 addk r3, r3, r7 1690: 90c60060 sext8 r6, r6 1694: 10c83000 addk r6, r8, r6 1698: e0c60001 lbui r6, r6, 1 169c: 90a50060 sext8 r5, r5 16a0: 10631800 addk r3, r3, r3 16a4: 30a5ffd0 addik r5, r5, -48 16a8: a4c60004 andi r6, r6, 4 16ac: be26ffcc bneid r6, -52 // 1678 16b0: 10e51800 addk r7, r5, r3 16b4: f8e10024 swi r7, r1, 36 16b8: b810fca0 brid -864 // 1358 16bc: 3324ffff addik r25, r4, -1 16c0: b810fcdc brid -804 // 139c 16c4: 30c50020 addik r6, r5, 32 16c8: a8650061 xori r3, r5, 97 16cc: bc23002c bnei r3, 44 // 16f8 16d0: b0000000 imm 0 16d4: b9f40240 brlid r15, 576 // 1914 16d8: 30a00007 addik r5, r0, 7 16dc: b810ff8c brid -116 // 1668 16e0: 33390002 addik r25, r25, 2 16e4: b0000000 imm 0 16e8: b9f4022c brlid r15, 556 // 1914 16ec: 30a00008 addik r5, r0, 8 // 8 <_vector_sw_exception> 16f0: b810ff78 brid -136 // 1668 16f4: 33390002 addik r25, r25, 2 16f8: b0000000 imm 0 16fc: b9f40218 brlid r15, 536 // 1914 1700: 33390002 addik r25, r25, 2 1704: b800ff64 bri -156 // 1668 1708: b0000000 imm 0 170c: b9f40208 brlid r15, 520 // 1914 1710: 30a0000d addik r5, r0, 13 1714: b0000000 imm 0 1718: b9f401fc brlid r15, 508 // 1914 171c: 30a0000a addik r5, r0, 10 1720: b810ff48 brid -184 // 1668 1724: 33390002 addik r25, r25, 2 1728: 1643b801 cmp r18, r3, r23 172c: bcb2fdb8 bgei r18, -584 // 14e4 1730: e0a10028 lbui r5, r1, 40 1734: 32f70001 addik r23, r23, 1 1738: b0000000 imm 0 173c: b9f401d8 brlid r15, 472 // 1914 1740: 90a50060 sext8 r5, r5 1744: e8610020 lwi r3, r1, 32 1748: 1643b801 cmp r18, r3, r23 174c: beb2fd98 bgeid r18, -616 // 14e4 1750: 32f70001 addik r23, r23, 1 1754: e0a10028 lbui r5, r1, 40 1758: b0000000 imm 0 175c: b9f401b8 brlid r15, 440 // 1914 1760: 90a50060 sext8 r5, r5 1764: e8610020 lwi r3, r1, 32 1768: 1643b801 cmp r18, r3, r23 176c: bc52ffc4 blti r18, -60 // 1730 1770: b810fd78 brid -648 // 14e8 1774: e0730000 lbui r3, r19, 0 1778: b60f0008 rtsd r15, 8 177c: 80000000 or r0, r0, r0 00001780 : 1780: 10652800 addk r3, r5, r5 1784: 10631800 addk r3, r3, r3 1788: 3021ffc4 addik r1, r1, -60 178c: 10651800 addk r3, r5, r3 1790: f9e10000 swi r15, r1, 0 1794: fa61001c swi r19, r1, 28 1798: fac10020 swi r22, r1, 32 179c: fae10024 swi r23, r1, 36 17a0: fb010028 swi r24, r1, 40 17a4: fb21002c swi r25, r1, 44 17a8: fb410030 swi r26, r1, 48 17ac: fb610034 swi r27, r1, 52 17b0: fb810038 swi r28, r1, 56 17b4: 10801800 addk r4, r0, r3 17b8: 10831800 addk r4, r3, r3 17bc: 10842000 addk r4, r4, r4 17c0: 10842000 addk r4, r4, r4 17c4: b0000000 imm 0 17c8: 30641f04 addik r3, r4, 7940 17cc: e8830004 lwi r4, r3, 4 17d0: e863000c lwi r3, r3, 12 17d4: e8c40000 lwi r6, r4, 0 17d8: eac40008 lwi r22, r4, 8 17dc: a8630001 xori r3, r3, 1 17e0: be030124 beqid r3, 292 // 1904 17e4: 86d63000 and r22, r22, r6 17e8: 13052800 addk r24, r5, r5 17ec: 1318c000 addk r24, r24, r24 17f0: 1305c000 addk r24, r5, r24 17f4: 1320c000 addk r25, r0, r24 17f8: 1338c000 addk r25, r24, r24 17fc: 1339c800 addk r25, r25, r25 1800: 1339c800 addk r25, r25, r25 1804: b0000000 imm 0 1808: 33391f04 addik r25, r25, 7940 180c: e8790014 lwi r3, r25, 20 1810: 12e00000 addk r23, r0, r0 1814: be6300c4 bleid r3, 196 // 18d8 1818: 32600001 addik r19, r0, 1 181c: 33590008 addik r26, r25, 8 1820: 33790004 addik r27, r25, 4 1824: 33990018 addik r28, r25, 24 1828: b8100018 brid 24 // 1840 182c: 33390014 addik r25, r25, 20 1830: e8790000 lwi r3, r25, 0 1834: 1643b801 cmp r18, r3, r23 1838: beb200a4 bgeid r18, 164 // 18dc 183c: e9e10000 lwi r15, r1, 0 1840: 1078b800 addk r3, r24, r23 1844: 30830004 addik r4, r3, 4 1848: 10842000 addk r4, r4, r4 184c: 10631800 addk r3, r3, r3 1850: 10631800 addk r3, r3, r3 1854: 10842000 addk r4, r4, r4 1858: 10631800 addk r3, r3, r3 185c: 10842000 addk r4, r4, r4 1860: a4b60001 andi r5, r22, 1 1864: b0000000 imm 0 1868: 30631f04 addik r3, r3, 7940 186c: b0000000 imm 0 1870: 30841f04 addik r4, r4, 7940 1874: 92d60041 srl r22, r22 1878: be050058 beqid r5, 88 // 18d0 187c: 32f70001 addik r23, r23, 1 1880: e8ba0000 lwi r5, r26, 0 1884: 84b32800 and r5, r19, r5 1888: bc05000c beqi r5, 12 // 1894 188c: e8bb0000 lwi r5, r27, 0 1890: fa65000c swi r19, r5, 12 1894: e8840000 lwi r4, r4, 0 1898: 99fc2000 brald r15, r4 189c: e8a30024 lwi r5, r3, 36 18a0: e87a0000 lwi r3, r26, 0 18a4: 84731800 and r3, r19, r3 18a8: bc23000c bnei r3, 12 // 18b4 18ac: e87b0000 lwi r3, r27, 0 18b0: fa63000c swi r19, r3, 12 18b4: e89b0000 lwi r4, r27, 0 18b8: e87c0000 lwi r3, r28, 0 18bc: e8a40000 lwi r5, r4, 0 18c0: e8840008 lwi r4, r4, 8 18c4: a8630001 xori r3, r3, 1 18c8: be030014 beqid r3, 20 // 18dc 18cc: e9e10000 lwi r15, r1, 0 18d0: be36ff60 bneid r22, -160 // 1830 18d4: 12739800 addk r19, r19, r19 18d8: e9e10000 lwi r15, r1, 0 18dc: ea61001c lwi r19, r1, 28 18e0: eac10020 lwi r22, r1, 32 18e4: eae10024 lwi r23, r1, 36 18e8: eb010028 lwi r24, r1, 40 18ec: eb21002c lwi r25, r1, 44 18f0: eb410030 lwi r26, r1, 48 18f4: eb610034 lwi r27, r1, 52 18f8: eb810038 lwi r28, r1, 56 18fc: b60f0008 rtsd r15, 8 1900: 3021003c addik r1, r1, 60 1904: e8640020 lwi r3, r4, 32 1908: a863ffff xori r3, r3, -1 190c: b810fedc brid -292 // 17e8 1910: 86d61800 and r22, r22, r3 00001914 : 1914: a4c500ff andi r6, r5, 255 1918: b0004060 imm 16480 191c: 30a00000 addik r5, r0, 0 1920: 3021fffc addik r1, r1, -4 1924: f9e10000 swi r15, r1, 0 1928: b0000000 imm 0 192c: b9f40014 brlid r15, 20 // 1940 1930: 80000000 or r0, r0, r0 1934: e9e10000 lwi r15, r1, 0 1938: b60f0008 rtsd r15, 8 193c: 30210004 addik r1, r1, 4 00001940 : 1940: 30850008 addik r4, r5, 8 1944: e8640000 lwi r3, r4, 0 1948: a4630008 andi r3, r3, 8 194c: bc23fff8 bnei r3, -8 // 1944 1950: f8c50004 swi r6, r5, 4 1954: b60f0008 rtsd r15, 8 1958: 80000000 or r0, r0, r0 Disassembly of section .init: 0000195c <__init>: 195c: 3021fff8 addik r1, r1, -8 1960: d9e00800 sw r15, r0, r1 1964: 3160ffff addik r11, r0, -1 1968: 940bc802 mts rshr, r11 196c: 31600000 addik r11, r0, 0 1970: 940bc800 mts rslr, r11 1974: b000ffff imm -1 1978: b9f4e918 brlid r15, -5864 // 290 197c: 80000000 or r0, r0, r0 1980: b000ffff imm -1 1984: b9f4f16c brlid r15, -3732 // af0 <__do_global_ctors_aux> 1988: 80000000 or r0, r0, r0 198c: c9e00800 lw r15, r0, r1 1990: b60f0008 rtsd r15, 8 1994: 30210008 addik r1, r1, 8 Disassembly of section .fini: 00001998 <__fini>: 1998: 3021fff8 addik r1, r1, -8 199c: d9e00800 sw r15, r0, r1 19a0: b000ffff imm -1 19a4: b9f4e80c brlid r15, -6132 // 1b0 <__do_global_dtors_aux> 19a8: 80000000 or r0, r0, r0 19ac: c9e00800 lw r15, r0, r1 19b0: b60f0008 rtsd r15, 8 19b4: 30210008 addik r1, r1, 8