#----------------------------------------------------------- # Vivado v2017.1 (64-bit) # SW Build 1846317 on Fri Apr 14 18:55:03 MDT 2017 # IP Build 1846188 on Fri Apr 14 20:52:08 MDT 2017 # Start of session at: Sun May 21 11:42:08 2017 # Process ID: 1452 # Current directory: Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1 # Command line: vivado.exe -log Master.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source Master.tcl # Log file: Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/Master.vds # Journal file: Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1\vivado.jou #----------------------------------------------------------- source Master.tcl -notrace Command: synth_design -top Master -part xc7a35tcpg236-1 Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7a35t-cpg236' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a35t-cpg236' INFO: Launching helper process for spawning children vivado processes INFO: Helper process launched with PID 1500 --------------------------------------------------------------------------------- Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 320.566 ; gain = 75.043 --------------------------------------------------------------------------------- INFO: [Synth 8-638] synthesizing module 'Master' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/GPIO_Demo.vhd:23] INFO: [Synth 8-3491] module 'ClkGen' declared at 'Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/realtime/ClkGen_stub.v:5' bound to instance 'Inst_ClkGen' of component 'ClkGen' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/GPIO_Demo.vhd:96] INFO: [Synth 8-638] synthesizing module 'ClkGen' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/realtime/ClkGen_stub.v:5] INFO: [Synth 8-256] done synthesizing module 'ClkGen' (1#1) [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/realtime/ClkGen_stub.v:5] INFO: [Synth 8-3491] module 'VGAIntegrator' declared at 'Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:8' bound to instance 'instVGAIntegrator' of component 'VGAIntegrator' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/GPIO_Demo.vhd:110] INFO: [Synth 8-638] synthesizing module 'VGAIntegrator' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:22] INFO: [Synth 8-3491] module 'PxlClkGen' declared at 'Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/realtime/PxlClkGen_stub.v:5' bound to instance 'Inst_PxlClkGen' of component 'PxlClkGen' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:280] INFO: [Synth 8-638] synthesizing module 'PxlClkGen' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/realtime/PxlClkGen_stub.v:5] INFO: [Synth 8-256] done synthesizing module 'PxlClkGen' (2#1) [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/realtime/PxlClkGen_stub.v:5] Parameter X_START bound to: 25 - type: integer Parameter Y_START bound to: 176 - type: integer INFO: [Synth 8-3491] module 'drawLogo' declared at 'Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/drawLogo.vhd:35' bound to instance 'instDrawLogo' of component 'drawLogo' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:373] INFO: [Synth 8-638] synthesizing module 'drawLogo' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/drawLogo.vhd:48] Parameter X_START bound to: 25 - type: integer Parameter Y_START bound to: 176 - type: integer INFO: [Synth 8-3491] module 'BRAM_1' declared at 'Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/realtime/BRAM_1_stub.v:6' bound to instance 'Inst_BRAM_1' of component 'BRAM_1' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/drawLogo.vhd:70] INFO: [Synth 8-638] synthesizing module 'BRAM_1' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/realtime/BRAM_1_stub.v:6] INFO: [Synth 8-256] done synthesizing module 'BRAM_1' (3#1) [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/realtime/BRAM_1_stub.v:6] INFO: [Synth 8-256] done synthesizing module 'drawLogo' (4#1) [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/drawLogo.vhd:48] INFO: [Synth 8-3491] module 'MouseDisplay' declared at 'Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:99' bound to instance 'Inst_MouseDisplay' of component 'MouseDisplay' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:396] INFO: [Synth 8-638] synthesizing module 'MouseDisplay' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:129] WARNING: [Synth 8-614] signal 'ypos' is read in the process but is not in the sensitivity list [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:197] WARNING: [Synth 8-6014] Unused sequential element temp_diff_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:192] WARNING: [Synth 8-6014] Unused sequential element temp_diff_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:200] INFO: [Synth 8-256] done synthesizing module 'MouseDisplay' (5#1) [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:129] INFO: [Synth 8-3491] module 'overlayControls' declared at 'Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/overlayControls.vhd:35' bound to instance 'instOverlayControls' of component 'overlayControls' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:415] INFO: [Synth 8-638] synthesizing module 'overlayControls' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/overlayControls.vhd:43] INFO: [Synth 8-5534] Detected attribute (* rom_style = "block" *) [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/overlayControls.vhd:57] INFO: [Synth 8-5534] Detected attribute (* rom_style = "block" *) [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/overlayControls.vhd:268] INFO: [Synth 8-5534] Detected attribute (* rom_style = "block" *) [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/overlayControls.vhd:480] INFO: [Synth 8-5534] Detected attribute (* rom_style = "block" *) [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/overlayControls.vhd:691] INFO: [Synth 8-5534] Detected attribute (* rom_style = "block" *) [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/overlayControls.vhd:904] INFO: [Synth 8-256] done synthesizing module 'overlayControls' (6#1) [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/overlayControls.vhd:43] WARNING: [Synth 8-6014] Unused sequential element MOUSE_LEFT_BUTTON_REG_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:362] INFO: [Synth 8-256] done synthesizing module 'VGAIntegrator' (7#1) [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:22] INFO: [Synth 8-3491] module 'MouseControl' declared at 'Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:179' bound to instance 'instMouseControl' of component 'MouseControl' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/GPIO_Demo.vhd:124] INFO: [Synth 8-638] synthesizing module 'MouseControl' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:208] Parameter SYSCLK_FREQUENCY_HZ bound to: 100000000 - type: integer Parameter CHECK_PERIOD_MS bound to: 500 - type: integer Parameter TIMEOUT_PERIOD_MS bound to: 100 - type: integer INFO: [Synth 8-3491] module 'Ps2Interface' declared at 'Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:152' bound to instance 'Inst_Ps2Interface' of component 'Ps2Interface' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:370] INFO: [Synth 8-638] synthesizing module 'Ps2Interface' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:180] INFO: [Synth 8-256] done synthesizing module 'Ps2Interface' (8#1) [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:180] WARNING: [Synth 8-6014] Unused sequential element inc_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:451] WARNING: [Synth 8-6014] Unused sequential element x_inter_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:457] WARNING: [Synth 8-6014] Unused sequential element inc_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:515] WARNING: [Synth 8-6014] Unused sequential element y_inter_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:521] INFO: [Synth 8-256] done synthesizing module 'MouseControl' (9#1) [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:208] INFO: [Synth 8-256] done synthesizing module 'Master' (10#1) [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/GPIO_Demo.vhd:23] WARNING: [Synth 8-3917] design Master has port LED[15] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[14] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[13] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[12] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[11] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[10] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[9] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[8] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[7] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[6] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[5] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[4] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[3] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[2] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[1] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[0] driven by constant 0 --------------------------------------------------------------------------------- Finished RTL Elaboration : Time (s): cpu = 00:00:19 ; elapsed = 00:00:23 . Memory (MB): peak = 1303.297 ; gain = 1057.773 --------------------------------------------------------------------------------- Report Check Netlist: +------+------------------+-------+---------+-------+------------------+ | |Item |Errors |Warnings |Status |Description | +------+------------------+-------+---------+-------+------------------+ |1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | +------+------------------+-------+---------+-------+------------------+ --------------------------------------------------------------------------------- Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:23 ; elapsed = 00:00:30 . Memory (MB): peak = 1303.297 ; gain = 1057.773 --------------------------------------------------------------------------------- INFO: [Device 21-403] Loading part xc7a35tcpg236-1 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints Initializing timing engine Parsing XDC File [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/dcp7/clk_wiz_0_in_context.xdc] for cell 'Inst_ClkGen' Finished Parsing XDC File [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/dcp7/clk_wiz_0_in_context.xdc] for cell 'Inst_ClkGen' Parsing XDC File [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/dcp9/PxlClkGen_in_context.xdc] for cell 'instVGAIntegrator/Inst_PxlClkGen' Finished Parsing XDC File [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/dcp9/PxlClkGen_in_context.xdc] for cell 'instVGAIntegrator/Inst_PxlClkGen' Parsing XDC File [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/dcp11/BRAM_1_in_context.xdc] for cell 'instVGAIntegrator/instDrawLogo/Inst_BRAM_1' Finished Parsing XDC File [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/dcp11/BRAM_1_in_context.xdc] for cell 'instVGAIntegrator/instDrawLogo/Inst_BRAM_1' Parsing XDC File [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/constraints/Basys3_Master.xdc] Finished Parsing XDC File [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/constraints/Basys3_Master.xdc] INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/constraints/Basys3_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/Master_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/Master_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Parsing XDC File [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/dont_touch.xdc] Finished Parsing XDC File [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/dont_touch.xdc] Completed Processing XDC Constraints INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.008 . Memory (MB): peak = 1303.297 ; gain = 0.000 WARNING: [Timing 38-316] Clock period '20.000' specified during out-of-context synthesis of instance 'instVGAIntegrator/instDrawLogo/Inst_BRAM_1' at clock pin 'clka' is different from the actual clock period '9.259', this can lead to different synthesis results. --------------------------------------------------------------------------------- Finished Constraint Validation : Time (s): cpu = 00:00:42 ; elapsed = 00:00:55 . Memory (MB): peak = 1303.297 ; gain = 1057.773 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7a35tcpg236-1 --------------------------------------------------------------------------------- Finished Loading Part and Timing Information : Time (s): cpu = 00:00:42 ; elapsed = 00:00:55 . Memory (MB): peak = 1303.297 ; gain = 1057.773 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- Applied set_property IO_BUFFER_TYPE = NONE for CLK. (constraint file Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/dcp7/clk_wiz_0_in_context.xdc, line 4). Applied set_property CLOCK_BUFFER_TYPE = NONE for CLK. (constraint file Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/.Xil/Vivado-1452-RESTOREDDAV3F28/dcp7/clk_wiz_0_in_context.xdc, line 5). Applied set_property DONT_TOUCH = true for Inst_ClkGen. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for instVGAIntegrator/Inst_PxlClkGen. (constraint file auto generated constraint, line ). Applied set_property DONT_TOUCH = true for instVGAIntegrator/instDrawLogo/Inst_BRAM_1. (constraint file auto generated constraint, line ). --------------------------------------------------------------------------------- Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:42 ; elapsed = 00:00:55 . Memory (MB): peak = 1303.297 ; gain = 1057.773 --------------------------------------------------------------------------------- WARNING: [Synth 8-6014] Unused sequential element addr_reg_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/drawLogo.vhd:73] INFO: [Synth 8-4471] merging register 'green_out_reg[3:0]' into 'red_out_reg[3:0]' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:238] INFO: [Synth 8-4471] merging register 'blue_out_reg[3:0]' into 'red_out_reg[3:0]' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:239] WARNING: [Synth 8-6014] Unused sequential element green_out_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:238] WARNING: [Synth 8-6014] Unused sequential element blue_out_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:239] INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:213] INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:214] INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:213] INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:214] INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:213] INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseDisplay.vhd:214] INFO: [Synth 8-5547] Trying to map ROM "OVERLAY_RAM1" into Block RAM due to explicit "ram_style" or "rom_style" specification INFO: [Synth 8-5547] Trying to map ROM "OVERLAY_RAM2" into Block RAM due to explicit "ram_style" or "rom_style" specification INFO: [Synth 8-5547] Trying to map ROM "OVERLAY_RAM3" into Block RAM due to explicit "ram_style" or "rom_style" specification INFO: [Synth 8-5547] Trying to map ROM "OVERLAY_RAM4" into Block RAM due to explicit "ram_style" or "rom_style" specification INFO: [Synth 8-5547] Trying to map ROM "OVERLAY_RAM5" into Block RAM due to explicit "ram_style" or "rom_style" specification WARNING: [Synth 8-6014] Unused sequential element addr_cntr_reg_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/overlayControls.vhd:1134] WARNING: [Synth 8-6014] Unused sequential element h_cntr_reg_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:299] WARNING: [Synth 8-6014] Unused sequential element v_cntr_reg_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:310] INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'Ps2Interface' WARNING: [Synth 8-6014] Unused sequential element state_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:445] INFO: [Synth 8-5546] ROM "reset_bit_count" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5546] ROM "busy" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5546] ROM "read_data" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5546] ROM "load_tx_data" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5546] ROM "ps2_clk_h" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5546] ROM "delay_100us_counter_enable" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5546] ROM "delay_20us_counter_enable" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5546] ROM "delay_63clk_counter_enable" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) WARNING: [Synth 8-6014] Unused sequential element clk_count_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:362] WARNING: [Synth 8-6014] Unused sequential element data_count_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:386] WARNING: [Synth 8-6014] Unused sequential element delay_100us_count_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:697] WARNING: [Synth 8-6014] Unused sequential element delay_20us_count_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:721] WARNING: [Synth 8-6014] Unused sequential element delay_63clk_count_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:745] WARNING: [Synth 8-6014] Unused sequential element bit_count_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:484] INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'MouseControl' WARNING: [Synth 8-6014] Unused sequential element state_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:625] INFO: [Synth 8-5545] ROM "periodic_check_tick" won't be mapped to RAM because address size (26) is larger than maximum supported(25) INFO: [Synth 8-5546] ROM "timeout" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5546] ROM "reset_periodic_check_cnt" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5546] ROM "new_event" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-5544] ROM "state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) WARNING: [Synth 8-327] inferring latch for variable 'vga_red_reg' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:461] WARNING: [Synth 8-327] inferring latch for variable 'vga_green_reg' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:475] WARNING: [Synth 8-327] inferring latch for variable 'vga_blue_reg' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:488] WARNING: [Synth 8-6014] Unused sequential element state_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:445] WARNING: [Synth 8-6014] Unused sequential element state_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:445] --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- idle | 00000 | 00000 rx_down_edge | 00001 | 00011 rx_clk_l | 00010 | 00010 rx_clk_h | 00011 | 00001 rx_error_parity | 00100 | 00100 rx_data_ready | 00101 | 00101 tx_force_clk_l | 00110 | 00110 tx_bring_data_down | 00111 | 00111 tx_release_clk | 01000 | 01000 tx_first_wait_down_edge | 01001 | 01001 tx_clk_l | 01010 | 01010 tx_wait_up_edge | 01011 | 01011 tx_wait_up_edge_before_ack | 01100 | 01101 tx_wait_ack | 01101 | 01110 tx_received_ack | 01110 | 01111 tx_error_no_ack | 01111 | 10000 tx_clk_h | 10000 | 01100 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'sequential' in module 'Ps2Interface' WARNING: [Synth 8-6014] Unused sequential element state_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:445] WARNING: [Synth 8-6014] Unused sequential element state_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:625] WARNING: [Synth 8-6014] Unused sequential element state_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:625] --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- reset | 000000 | 000000 reset_wait_ack | 000001 | 000001 reset_wait_bat_completion | 000010 | 000010 reset_wait_id | 000011 | 000011 reset_set_sample_rate_200 | 000100 | 000100 reset_set_sample_rate_200_wait_ack | 000101 | 000101 reset_send_sample_rate_200 | 000110 | 000110 reset_send_sample_rate_200_wait_ack | 000111 | 000111 reset_set_sample_rate_100 | 001000 | 001000 reset_set_sample_rate_100_wait_ack | 001001 | 001001 reset_send_sample_rate_100 | 001010 | 001010 reset_send_sample_rate_100_wait_ack | 001011 | 001011 reset_set_sample_rate_80 | 001100 | 001100 reset_set_sample_rate_80_wait_ack | 001101 | 001101 reset_send_sample_rate_80 | 001110 | 001110 reset_send_sample_rate_80_wait_ack | 001111 | 001111 reset_read_id | 010000 | 010000 reset_read_id_wait_ack | 010001 | 010001 reset_read_id_wait_id | 010010 | 010010 reset_set_resolution | 010011 | 010011 reset_set_resolution_wait_ack | 010100 | 010100 reset_send_resolution | 010101 | 010101 reset_send_resolution_wait_ack | 010110 | 010110 reset_set_sample_rate_40 | 010111 | 010111 reset_set_sample_rate_40_wait_ack | 011000 | 011000 reset_send_sample_rate_40 | 011001 | 011001 reset_send_sample_rate_40_wait_ack | 011010 | 011010 reset_enable_reporting | 011011 | 011011 reset_enable_reporting_wait_ack | 011100 | 011100 read_byte_1 | 011101 | 011101 read_byte_2 | 011110 | 011110 read_byte_3 | 011111 | 011111 read_byte_4 | 100000 | 100000 mark_new_event | 100001 | 100100 check_read_id | 100010 | 100001 check_read_id_wait_ack | 100011 | 100010 check_read_id_wait_id | 100100 | 100011 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'sequential' in module 'MouseControl' WARNING: [Synth 8-6014] Unused sequential element state_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:625] --------------------------------------------------------------------------------- Finished RTL Optimization Phase 2 : Time (s): cpu = 00:03:21 ; elapsed = 00:03:39 . Memory (MB): peak = 2504.703 ; gain = 2259.180 --------------------------------------------------------------------------------- Report RTL Partitions: +-+--------------+------------+----------+ | |RTL Partition |Replication |Instances | +-+--------------+------------+----------+ +-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start RTL Component Statistics --------------------------------------------------------------------------------- Detailed RTL Component Info : +---Adders : 2 Input 26 Bit Adders := 1 2 Input 24 Bit Adders := 1 2 Input 21 Bit Adders := 1 3 Input 13 Bit Adders := 2 2 Input 12 Bit Adders := 7 2 Input 11 Bit Adders := 1 2 Input 8 Bit Adders := 1 2 Input 7 Bit Adders := 1 3 Input 4 Bit Adders := 2 2 Input 4 Bit Adders := 3 +---Registers : 26 Bit Registers := 1 24 Bit Registers := 1 21 Bit Registers := 5 12 Bit Registers := 10 11 Bit Registers := 2 8 Bit Registers := 4 7 Bit Registers := 1 4 Bit Registers := 14 2 Bit Registers := 1 1 Bit Registers := 42 +---Muxes : 2 Input 26 Bit Muxes := 1 2 Input 24 Bit Muxes := 1 2 Input 12 Bit Muxes := 10 2 Input 11 Bit Muxes := 1 2 Input 9 Bit Muxes := 2 37 Input 8 Bit Muxes := 3 107 Input 6 Bit Muxes := 1 36 Input 5 Bit Muxes := 1 2 Input 4 Bit Muxes := 3 37 Input 4 Bit Muxes := 1 2 Input 1 Bit Muxes := 17 4 Input 1 Bit Muxes := 1 17 Input 1 Bit Muxes := 6 37 Input 1 Bit Muxes := 22 --------------------------------------------------------------------------------- Finished RTL Component Statistics --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- Hierarchical RTL Component report Module MouseDisplay Detailed RTL Component Info : +---Adders : 3 Input 13 Bit Adders := 2 2 Input 12 Bit Adders := 3 3 Input 4 Bit Adders := 2 +---Registers : 4 Bit Registers := 1 2 Bit Registers := 1 1 Bit Registers := 1 Module overlayControls Detailed RTL Component Info : +---Adders : 2 Input 21 Bit Adders := 1 +---Registers : 21 Bit Registers := 5 1 Bit Registers := 1 +---Muxes : 2 Input 1 Bit Muxes := 2 4 Input 1 Bit Muxes := 1 Module VGAIntegrator Detailed RTL Component Info : +---Registers : 12 Bit Registers := 4 4 Bit Registers := 9 1 Bit Registers := 6 +---Muxes : 2 Input 4 Bit Muxes := 3 2 Input 1 Bit Muxes := 1 Module Ps2Interface Detailed RTL Component Info : +---Adders : 2 Input 11 Bit Adders := 1 2 Input 7 Bit Adders := 1 2 Input 4 Bit Adders := 3 +---Registers : 11 Bit Registers := 2 8 Bit Registers := 1 7 Bit Registers := 1 4 Bit Registers := 3 1 Bit Registers := 17 +---Muxes : 2 Input 11 Bit Muxes := 1 36 Input 5 Bit Muxes := 1 2 Input 1 Bit Muxes := 9 17 Input 1 Bit Muxes := 6 Module MouseControl Detailed RTL Component Info : +---Adders : 2 Input 26 Bit Adders := 1 2 Input 24 Bit Adders := 1 2 Input 12 Bit Adders := 4 2 Input 8 Bit Adders := 1 +---Registers : 26 Bit Registers := 1 24 Bit Registers := 1 12 Bit Registers := 6 8 Bit Registers := 3 4 Bit Registers := 1 1 Bit Registers := 17 +---Muxes : 2 Input 26 Bit Muxes := 1 2 Input 24 Bit Muxes := 1 2 Input 12 Bit Muxes := 10 2 Input 9 Bit Muxes := 2 37 Input 8 Bit Muxes := 3 107 Input 6 Bit Muxes := 1 37 Input 4 Bit Muxes := 1 2 Input 1 Bit Muxes := 5 37 Input 1 Bit Muxes := 22 --------------------------------------------------------------------------------- Finished RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Part Resource Summary --------------------------------------------------------------------------------- Part Resources: DSPs: 90 (col length:60) BRAMs: 100 (col length: RAMB18 60 RAMB36 30) --------------------------------------------------------------------------------- Finished Part Resource Summary --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- INFO: [Synth 8-5547] Trying to map ROM "p_0_out" into Block RAM due to explicit "ram_style" or "rom_style" specification INFO: [Synth 8-5547] Trying to map ROM "p_0_out" into Block RAM due to explicit "ram_style" or "rom_style" specification INFO: [Synth 8-5547] Trying to map ROM "p_0_out" into Block RAM due to explicit "ram_style" or "rom_style" specification INFO: [Synth 8-5547] Trying to map ROM "p_0_out" into Block RAM due to explicit "ram_style" or "rom_style" specification INFO: [Synth 8-5547] Trying to map ROM "p_0_out" into Block RAM due to explicit "ram_style" or "rom_style" specification WARNING: [Synth 8-6014] Unused sequential element addr_cntr_reg_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/overlayControls.vhd:1134] INFO: [Synth 8-4471] merging register 'instVGAIntegrator/mouse_cursor_green_dly_reg[3:0]' into 'instVGAIntegrator/mouse_cursor_red_dly_reg[3:0]' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:440] INFO: [Synth 8-4471] merging register 'instVGAIntegrator/mouse_cursor_blue_dly_reg[3:0]' into 'instVGAIntegrator/mouse_cursor_red_dly_reg[3:0]' [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:439] WARNING: [Synth 8-6014] Unused sequential element instVGAIntegrator/mouse_cursor_green_dly_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:440] WARNING: [Synth 8-6014] Unused sequential element instVGAIntegrator/mouse_cursor_blue_dly_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:439] WARNING: [Synth 8-6014] Unused sequential element instMouseControl/zpos_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:1007] WARNING: [Synth 8-6014] Unused sequential element instMouseControl/left_down_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:419] WARNING: [Synth 8-6014] Unused sequential element instMouseControl/left_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:419] WARNING: [Synth 8-6014] Unused sequential element instMouseControl/middle_down_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:421] WARNING: [Synth 8-6014] Unused sequential element instMouseControl/middle_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:421] WARNING: [Synth 8-6014] Unused sequential element instMouseControl/right_down_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:423] WARNING: [Synth 8-6014] Unused sequential element instMouseControl/right_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:423] WARNING: [Synth 8-6014] Unused sequential element instMouseControl/new_event_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/MouseCtl.vhd:606] INFO: [Synth 8-5545] ROM "instMouseControl/periodic_check_tick" won't be mapped to RAM because address size (26) is larger than maximum supported(25) INFO: [Synth 8-5546] ROM "instMouseControl/timeout" won't be mapped to RAM because it is too sparse WARNING: [Synth 8-6014] Unused sequential element instVGAIntegrator/instDrawLogo/addr_reg_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/drawLogo.vhd:73] WARNING: [Synth 8-6014] Unused sequential element instVGAIntegrator/h_cntr_reg_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:299] WARNING: [Synth 8-6014] Unused sequential element instVGAIntegrator/v_cntr_reg_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.srcs/sources_1/new/VGAIntegrator.vhd:310] WARNING: [Synth 8-6014] Unused sequential element instMouseControl/Inst_Ps2Interface/data_count_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:386] WARNING: [Synth 8-6014] Unused sequential element instMouseControl/Inst_Ps2Interface/clk_count_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:362] WARNING: [Synth 8-6014] Unused sequential element instMouseControl/Inst_Ps2Interface/bit_count_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:484] WARNING: [Synth 8-6014] Unused sequential element instMouseControl/Inst_Ps2Interface/delay_100us_count_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:697] WARNING: [Synth 8-6014] Unused sequential element instMouseControl/Inst_Ps2Interface/delay_20us_count_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:721] WARNING: [Synth 8-6014] Unused sequential element instMouseControl/Inst_Ps2Interface/delay_63clk_count_reg was removed. [Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/src/hdl/Ps2Interface.vhd:745] WARNING: [Synth 8-3917] design Master has port LED[15] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[14] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[13] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[12] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[11] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[10] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[9] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[8] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[7] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[6] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[5] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[4] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[3] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[2] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[1] driven by constant 0 WARNING: [Synth 8-3917] design Master has port LED[0] driven by constant 0 INFO: [Synth 8-3886] merging instance 'instMouseControl/x_max_reg[0]' (FDSE) to 'instMouseControl/y_max_reg[0]' INFO: [Synth 8-3886] merging instance 'instMouseControl/x_max_reg[1]' (FDSE) to 'instMouseControl/y_max_reg[0]' INFO: [Synth 8-3886] merging instance 'instMouseControl/x_max_reg[2]' (FDSE) to 'instMouseControl/y_max_reg[0]' INFO: [Synth 8-3886] merging instance 'instMouseControl/x_max_reg[3]' (FDSE) to 'instMouseControl/y_max_reg[0]' INFO: [Synth 8-3886] merging instance 'instMouseControl/x_max_reg[4]' (FDSE) to 'instMouseControl/y_max_reg[0]' INFO: [Synth 8-3886] merging instance 'instMouseControl/x_max_reg[5]' (FDSE) to 'instMouseControl/y_max_reg[0]' INFO: [Synth 8-3886] merging instance 'instMouseControl/x_max_reg[6]' (FDSE) to 'instMouseControl/y_max_reg[0]' INFO: [Synth 8-3886] merging instance 'instMouseControl/x_max_reg[7]' (FDSE) to 'instMouseControl/y_max_reg[0]' INFO: [Synth 8-3886] merging instance 'instMouseControl/x_max_reg[8]' (FDRE) to 'instMouseControl/y_max_reg[10]' INFO: [Synth 8-3886] merging instance 'instMouseControl/x_max_reg[9]' (FDRE) to 'instMouseControl/y_max_reg[10]' INFO: [Synth 8-3886] merging instance 'instMouseControl/x_max_reg[10]' (FDSE) to 'instMouseControl/y_max_reg[0]' INFO: [Synth 8-3886] merging instance 'instMouseControl/x_max_reg[11]' (FDRE) to 'instMouseControl/y_max_reg[10]' INFO: [Synth 8-3886] merging instance 'instMouseControl/y_max_reg[0]' (FDSE) to 'instMouseControl/y_max_reg[1]' INFO: [Synth 8-3886] merging instance 'instMouseControl/y_max_reg[1]' (FDSE) to 'instMouseControl/y_max_reg[2]' INFO: [Synth 8-3886] merging instance 'instMouseControl/y_max_reg[2]' (FDSE) to 'instMouseControl/y_max_reg[3]' INFO: [Synth 8-3886] merging instance 'instMouseControl/y_max_reg[3]' (FDSE) to 'instMouseControl/y_max_reg[4]' INFO: [Synth 8-3886] merging instance 'instMouseControl/y_max_reg[4]' (FDSE) to 'instMouseControl/y_max_reg[5]' INFO: [Synth 8-3886] merging instance 'instMouseControl/y_max_reg[5]' (FDSE) to 'instMouseControl/y_max_reg[6]' INFO: [Synth 8-3886] merging instance 'instMouseControl/y_max_reg[6]' (FDSE) to 'instMouseControl/y_max_reg[7]' INFO: [Synth 8-3886] merging instance 'instMouseControl/y_max_reg[7]' (FDSE) to 'instMouseControl/y_max_reg[8]' INFO: [Synth 8-3886] merging instance 'instMouseControl/y_max_reg[8]' (FDSE) to 'instMouseControl/y_max_reg[9]' INFO: [Synth 8-3333] propagating constant 1 across sequential element (\instMouseControl/y_max_reg[9] ) INFO: [Synth 8-3886] merging instance 'instMouseControl/y_max_reg[10]' (FDRE) to 'instMouseControl/y_max_reg[11]' INFO: [Synth 8-3333] propagating constant 0 across sequential element (\instMouseControl/y_max_reg[11] ) INFO: [Synth 8-3886] merging instance 'instVGAIntegrator/Inst_MouseDisplay/red_out_reg[0]' (FDSE) to 'instVGAIntegrator/Inst_MouseDisplay/red_out_reg[3]' INFO: [Synth 8-3886] merging instance 'instVGAIntegrator/Inst_MouseDisplay/red_out_reg[1]' (FDSE) to 'instVGAIntegrator/Inst_MouseDisplay/red_out_reg[3]' INFO: [Synth 8-3886] merging instance 'instVGAIntegrator/Inst_MouseDisplay/red_out_reg[2]' (FDSE) to 'instVGAIntegrator/Inst_MouseDisplay/red_out_reg[3]' INFO: [Synth 8-3886] merging instance 'instVGAIntegrator/mouse_cursor_red_dly_reg[0]' (FD) to 'instVGAIntegrator/mouse_cursor_red_dly_reg[2]' INFO: [Synth 8-3886] merging instance 'instVGAIntegrator/mouse_cursor_red_dly_reg[1]' (FD) to 'instVGAIntegrator/mouse_cursor_red_dly_reg[2]' INFO: [Synth 8-3886] merging instance 'instVGAIntegrator/mouse_cursor_red_dly_reg[2]' (FD) to 'instVGAIntegrator/mouse_cursor_red_dly_reg[3]' WARNING: [Synth 8-3332] Sequential element (addr_cntr_reg_reg_rep[20]) is unused and will be removed from module overlayControls. WARNING: [Synth 8-3332] Sequential element (addr_cntr_reg_reg_rep[19]) is unused and will be removed from module overlayControls. WARNING: [Synth 8-3332] Sequential element (addr_cntr_reg_reg_rep[18]) is unused and will be removed from module overlayControls. WARNING: [Synth 8-3332] Sequential element (addr_cntr_reg_reg_rep[20]__0) is unused and will be removed from module overlayControls. WARNING: [Synth 8-3332] Sequential element (addr_cntr_reg_reg_rep[19]__0) is unused and will be removed from module overlayControls. WARNING: [Synth 8-3332] Sequential element (addr_cntr_reg_reg_rep[18]__0) is unused and will be removed from module overlayControls. WARNING: [Synth 8-3332] Sequential element (addr_cntr_reg_reg_rep[20]__1) is unused and will be removed from module overlayControls. WARNING: [Synth 8-3332] Sequential element (addr_cntr_reg_reg_rep[19]__1) is unused and will be removed from module overlayControls. WARNING: [Synth 8-3332] Sequential element (addr_cntr_reg_reg_rep[18]__1) is unused and will be removed from module overlayControls. WARNING: [Synth 8-3332] Sequential element (addr_cntr_reg_reg_rep[20]__2) is unused and will be removed from module overlayControls. WARNING: [Synth 8-3332] Sequential element (addr_cntr_reg_reg_rep[19]__2) is unused and will be removed from module overlayControls. WARNING: [Synth 8-3332] Sequential element (addr_cntr_reg_reg_rep[18]__2) is unused and will be removed from module overlayControls. WARNING: [Synth 8-3332] Sequential element (addr_cntr_reg_reg_rep[20]__3) is unused and will be removed from module overlayControls. WARNING: [Synth 8-3332] Sequential element (addr_cntr_reg_reg_rep[19]__3) is unused and will be removed from module overlayControls. WARNING: [Synth 8-3332] Sequential element (addr_cntr_reg_reg_rep[18]__3) is unused and will be removed from module overlayControls. WARNING: [Synth 8-3332] Sequential element (instMouseControl/y_max_reg[9]) is unused and will be removed from module Master. WARNING: [Synth 8-3332] Sequential element (instMouseControl/y_max_reg[11]) is unused and will be removed from module Master. --------------------------------------------------------------------------------- Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:20:21 ; elapsed = 00:20:46 . Memory (MB): peak = 2927.668 ; gain = 2682.145 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP and Shift Register Reporting --------------------------------------------------------------------------------- ROM: +----------------+-------------------------------------------------+---------------+----------------+ |Module Name | RTL Object | Depth x Width | Implemented As | +----------------+-------------------------------------------------+---------------+----------------+ |MouseDisplay | mouserom[0] | 256x2 | LUT | |overlayControls | p_0_out | 262144x1 | LUT | |overlayControls | p_0_out | 262144x1 | LUT | |overlayControls | p_0_out | 262144x1 | LUT | |overlayControls | p_0_out | 262144x1 | LUT | |overlayControls | p_0_out | 262144x1 | LUT | |overlayControls | p_0_out | 262144x1 | LUT | |overlayControls | p_0_out | 262144x1 | LUT | |overlayControls | p_0_out | 262144x1 | LUT | |overlayControls | p_0_out | 262144x1 | LUT | |overlayControls | p_0_out | 262144x1 | LUT | |Master | instVGAIntegrator/Inst_MouseDisplay/mouserom[0] | 256x2 | LUT | +----------------+-------------------------------------------------+---------------+----------------+ --------------------------------------------------------------------------------- Finished ROM, RAM, DSP and Shift Register Reporting --------------------------------------------------------------------------------- Report RTL Partitions: +-+--------------+------------+----------+ | |RTL Partition |Replication |Instances | +-+--------------+------------+----------+ +-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- INFO: [Synth 8-5578] Moved timing constraint from pin 'Inst_ClkGen/clk_100MHz_o' to pin 'Inst_ClkGen/bbstub_clk_100MHz_o/O' INFO: [Synth 8-5578] Moved timing constraint from pin 'Inst_ClkGen/clk_200MHz_o' to pin 'Inst_ClkGen/bbstub_clk_200MHz_o/O' INFO: [Synth 8-5578] Moved timing constraint from pin 'instVGAIntegrator/Inst_PxlClkGen/clk_in1' to pin 'Inst_ClkGen/bbstub_clk_100MHz_o/O' INFO: [Synth 8-5578] Moved timing constraint from pin 'instVGAIntegrator/Inst_PxlClkGen/clk_out1' to pin 'instVGAIntegrator/Inst_PxlClkGen/bbstub_clk_out1/O' INFO: [Synth 8-5783] Moving clock source from hierarchical pin 'instVGAIntegrator/Inst_PxlClkGen/clk_in1' to 'i_12/instMouseControl/Inst_Ps2Interface/delay_20us_count_reg[10]/C' INFO: [Synth 8-5819] Moved 4 constraints on hierarchical pins to their respective driving/loading pins --------------------------------------------------------------------------------- Finished Applying XDC Timing Constraints : Time (s): cpu = 00:20:33 ; elapsed = 00:20:59 . Memory (MB): peak = 2927.668 ; gain = 2682.145 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Timing Optimization : Time (s): cpu = 00:20:37 ; elapsed = 00:21:02 . Memory (MB): peak = 2927.668 ; gain = 2682.145 --------------------------------------------------------------------------------- Report RTL Partitions: +-+--------------+------------+----------+ | |RTL Partition |Replication |Instances | +-+--------------+------------+----------+ +-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- INFO: [Synth 8-3333] propagating constant 0 across sequential element (\instMouseControl/y_pos_reg[11] ) INFO: [Synth 8-3333] propagating constant 0 across sequential element (\instMouseControl/ypos_reg[11] ) INFO: [Synth 8-3333] propagating constant 0 across sequential element (\instVGAIntegrator/MOUSE_Y_POS_REG_reg[11] ) WARNING: [Synth 8-3332] Sequential element (instMouseControl/y_pos_reg[11]) is unused and will be removed from module Master. WARNING: [Synth 8-3332] Sequential element (instMouseControl/ypos_reg[11]) is unused and will be removed from module Master. WARNING: [Synth 8-3332] Sequential element (instVGAIntegrator/MOUSE_Y_POS_REG_reg[11]) is unused and will be removed from module Master. --------------------------------------------------------------------------------- Finished Technology Mapping : Time (s): cpu = 00:20:43 ; elapsed = 00:21:07 . Memory (MB): peak = 2927.668 ; gain = 2682.145 --------------------------------------------------------------------------------- Report RTL Partitions: +-+--------------+------------+----------+ | |RTL Partition |Replication |Instances | +-+--------------+------------+----------+ +-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Flattening Before IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Flattening Before IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished IO Insertion : Time (s): cpu = 00:20:44 ; elapsed = 00:21:08 . Memory (MB): peak = 2927.668 ; gain = 2682.145 --------------------------------------------------------------------------------- Report Check Netlist: +------+------------------+-------+---------+-------+------------------+ | |Item |Errors |Warnings |Status |Description | +------+------------------+-------+---------+-------+------------------+ |1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | +------+------------------+-------+---------+-------+------------------+ --------------------------------------------------------------------------------- Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Instances : Time (s): cpu = 00:20:44 ; elapsed = 00:21:08 . Memory (MB): peak = 2927.668 ; gain = 2682.145 --------------------------------------------------------------------------------- Report RTL Partitions: +-+--------------+------------+----------+ | |RTL Partition |Replication |Instances | +-+--------------+------------+----------+ +-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Rebuilding User Hierarchy : Time (s): cpu = 00:20:44 ; elapsed = 00:21:09 . Memory (MB): peak = 2927.668 ; gain = 2682.145 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Ports : Time (s): cpu = 00:20:44 ; elapsed = 00:21:09 . Memory (MB): peak = 2927.668 ; gain = 2682.145 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Handling Custom Attributes : Time (s): cpu = 00:20:45 ; elapsed = 00:21:09 . Memory (MB): peak = 2927.668 ; gain = 2682.145 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Nets : Time (s): cpu = 00:20:45 ; elapsed = 00:21:09 . Memory (MB): peak = 2927.668 ; gain = 2682.145 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report --------------------------------------------------------------------------------- Report BlackBoxes: +------+--------------+----------+ | |BlackBox name |Instances | +------+--------------+----------+ |1 |ClkGen | 1| |2 |PxlClkGen | 1| |3 |BRAM_1 | 1| +------+--------------+----------+ Report Cell Usage: +------+----------+------+ | |Cell |Count | +------+----------+------+ |1 |BRAM_1 | 1| |2 |ClkGen | 1| |3 |PxlClkGen | 1| |4 |CARRY4 | 94| |5 |LUT1 | 195| |6 |LUT2 | 267| |7 |LUT3 | 182| |8 |LUT4 | 210| |9 |LUT5 | 536| |10 |LUT6 | 1583| |11 |MUXF7 | 231| |12 |MUXF8 | 46| |13 |FDCE | 34| |14 |FDPE | 2| |15 |FDRE | 458| |16 |LDC | 12| |17 |IOBUF | 2| |18 |OBUF | 30| +------+----------+------+ Report Instance Areas: +------+------------------------+----------------+------+ | |Instance |Module |Cells | +------+------------------------+----------------+------+ |1 |top | | 3899| |2 | instMouseControl |MouseControl | 670| |3 | Inst_Ps2Interface |Ps2Interface | 302| |4 | instVGAIntegrator |VGAIntegrator | 3194| |5 | Inst_MouseDisplay |MouseDisplay | 105| |6 | instDrawLogo |drawLogo | 109| |7 | instOverlayControls |overlayControls | 2702| +------+------------------------+----------------+------+ --------------------------------------------------------------------------------- Finished Writing Synthesis Report : Time (s): cpu = 00:20:45 ; elapsed = 00:21:09 . Memory (MB): peak = 2927.668 ; gain = 2682.145 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 79 warnings. Synthesis Optimization Runtime : Time (s): cpu = 00:20:12 ; elapsed = 00:20:57 . Memory (MB): peak = 2927.668 ; gain = 2682.145 Synthesis Optimization Complete : Time (s): cpu = 00:20:45 ; elapsed = 00:21:09 . Memory (MB): peak = 2927.668 ; gain = 2682.145 INFO: [Project 1-571] Translating synthesized netlist INFO: [Netlist 29-17] Analyzing 108 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Project 1-111] Unisim Transformation Summary: A total of 14 instances were transformed. IOBUF => IOBUF (IBUF, OBUFT): 2 instances LDC => LDCE: 12 instances 174 Infos, 104 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully synth_design: Time (s): cpu = 00:20:49 ; elapsed = 00:21:17 . Memory (MB): peak = 2927.668 ; gain = 2690.672 INFO: [Common 17-1381] The checkpoint 'Y:/Documents/LII/ProductDevelopment/SPAMS3.5/Control/Firmware/SubsystemTests/GUI/proj/GPIO.runs/synth_1/Master.dcp' has been generated. report_utilization: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.037 . Memory (MB): peak = 2927.668 ; gain = 0.000 INFO: [Common 17-206] Exiting Vivado at Sun May 21 12:03:32 2017...