Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2017.1 (win64) Build 1846317 Fri Apr 14 18:55:03 MDT 2017 | Date : Sun May 21 12:10:25 2017 | Host : RESTOREDDAV3F28 running 64-bit Service Pack 1 (build 7601) | Command : report_utilization -file GPIO_demo_utilization_synth.rpt -pb GPIO_demo_utilization_synth.pb | Design : GPIO_demo | Device : 7a35tcpg236-1 | Design State : Synthesized ------------------------------------------------------------------------------------------------------------- Utilization Design Information Table of Contents ----------------- 1. Slice Logic 1.1 Summary of Registers by Type 2. Memory 3. DSP 4. IO and GT Specific 5. Clocking 6. Specific Feature 7. Primitives 8. Black Boxes 9. Instantiated Netlists 1. Slice Logic -------------- +-------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------------------+------+-------+-----------+-------+ | Slice LUTs* | 902 | 0 | 20800 | 4.34 | | LUT as Logic | 902 | 0 | 20800 | 4.34 | | LUT as Memory | 0 | 0 | 9600 | 0.00 | | Slice Registers | 578 | 0 | 41600 | 1.39 | | Register as Flip Flop | 578 | 0 | 41600 | 1.39 | | Register as Latch | 0 | 0 | 41600 | 0.00 | | F7 Muxes | 8 | 0 | 16300 | 0.05 | | F8 Muxes | 1 | 0 | 8150 | 0.01 | +-------------------------+------+-------+-----------+-------+ * Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. 1.1 Summary of Registers by Type -------------------------------- +-------+--------------+-------------+--------------+ | Total | Clock Enable | Synchronous | Asynchronous | +-------+--------------+-------------+--------------+ | 0 | _ | - | - | | 0 | _ | - | Set | | 0 | _ | - | Reset | | 0 | _ | Set | - | | 0 | _ | Reset | - | | 0 | Yes | - | - | | 0 | Yes | - | Set | | 0 | Yes | - | Reset | | 2 | Yes | Set | - | | 576 | Yes | Reset | - | +-------+--------------+-------------+--------------+ 2. Memory --------- +----------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +----------------+------+-------+-----------+-------+ | Block RAM Tile | 0 | 0 | 50 | 0.00 | | RAMB36/FIFO* | 0 | 0 | 50 | 0.00 | | RAMB18 | 0 | 0 | 100 | 0.00 | +----------------+------+-------+-----------+-------+ * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 3. DSP ------ +-----------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------+------+-------+-----------+-------+ | DSPs | 0 | 0 | 90 | 0.00 | +-----------+------+-------+-----------+-------+ 4. IO and GT Specific --------------------- +-----------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------------------------+------+-------+-----------+-------+ | Bonded IOB | 67 | 0 | 106 | 63.21 | | Bonded IPADs | 0 | 0 | 10 | 0.00 | | Bonded OPADs | 0 | 0 | 4 | 0.00 | | PHY_CONTROL | 0 | 0 | 5 | 0.00 | | PHASER_REF | 0 | 0 | 5 | 0.00 | | OUT_FIFO | 0 | 0 | 20 | 0.00 | | IN_FIFO | 0 | 0 | 20 | 0.00 | | IDELAYCTRL | 0 | 0 | 5 | 0.00 | | IBUFDS | 0 | 0 | 104 | 0.00 | | GTPE2_CHANNEL | 0 | 0 | 2 | 0.00 | | PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 20 | 0.00 | | PHASER_IN/PHASER_IN_PHY | 0 | 0 | 20 | 0.00 | | IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 250 | 0.00 | | IBUFDS_GTE2 | 0 | 0 | 2 | 0.00 | | ILOGIC | 0 | 0 | 106 | 0.00 | | OLOGIC | 0 | 0 | 106 | 0.00 | +-----------------------------+------+-------+-----------+-------+ 5. Clocking ----------- +------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +------------+------+-------+-----------+-------+ | BUFGCTRL | 3 | 0 | 32 | 9.38 | | BUFIO | 0 | 0 | 20 | 0.00 | | MMCME2_ADV | 1 | 0 | 5 | 20.00 | | PLLE2_ADV | 0 | 0 | 5 | 0.00 | | BUFMRCE | 0 | 0 | 10 | 0.00 | | BUFHCE | 0 | 0 | 72 | 0.00 | | BUFR | 0 | 0 | 20 | 0.00 | +------------+------+-------+-----------+-------+ 6. Specific Feature ------------------- +-------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------+------+-------+-----------+-------+ | BSCANE2 | 0 | 0 | 4 | 0.00 | | CAPTUREE2 | 0 | 0 | 1 | 0.00 | | DNA_PORT | 0 | 0 | 1 | 0.00 | | EFUSE_USR | 0 | 0 | 1 | 0.00 | | FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | | ICAPE2 | 0 | 0 | 2 | 0.00 | | PCIE_2_1 | 0 | 0 | 1 | 0.00 | | STARTUPE2 | 0 | 0 | 1 | 0.00 | | XADC | 0 | 0 | 1 | 0.00 | +-------------+------+-------+-----------+-------+ 7. Primitives ------------- +------------+------+---------------------+ | Ref Name | Used | Functional Category | +------------+------+---------------------+ | FDRE | 576 | Flop & Latch | | LUT1 | 366 | LUT | | LUT2 | 199 | LUT | | LUT6 | 135 | LUT | | CARRY4 | 131 | CarryLogic | | LUT4 | 123 | LUT | | LUT3 | 104 | LUT | | LUT5 | 94 | LUT | | OBUF | 43 | IO | | IBUF | 24 | IO | | MUXF7 | 8 | MuxFx | | BUFG | 3 | Clock | | OBUFT | 2 | IO | | FDSE | 2 | Flop & Latch | | MUXF8 | 1 | MuxFx | | MMCME2_ADV | 1 | Clock | +------------+------+---------------------+ 8. Black Boxes -------------- +----------+------+ | Ref Name | Used | +----------+------+ 9. Instantiated Netlists ------------------------ +----------+------+ | Ref Name | Used | +----------+------+