#----------------------------------------------------------- # Vivado v2014.4.1 # SW Build 1149489 on Thu Feb 19 16:15:49 MST 2015 # IP Build 1147552 on Wed Feb 18 14:25:16 MST 2015 # Start of session at: Sun Sep 13 21:02:53 2015 # Process ID: 7128 # Log file: E:/project_2/vivado.log # Journal file: E:/project_2\vivado.jou #----------------------------------------------------------- start_gui open_project E:/project_2/project_2.xpr update_compile_order -fileset sources_1 reset_run synth_1