set_property PACKAGE_PIN W5 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports clk] create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk] set_property PACKAGE_PIN V17 [get_ports {rst}] set_property IOSTANDARD LVCMOS33 [get_ports {rst}] set_property PACKAGE_PIN V16 [get_ports {en}] set_property IOSTANDARD LVCMOS33 [get_ports {en}] set_property PACKAGE_PIN U16 [get_ports {imff1[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[0]}] set_property PACKAGE_PIN E19 [get_ports {imff1[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[1]}] set_property PACKAGE_PIN U19 [get_ports {imff1[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[2]}] set_property PACKAGE_PIN V19 [get_ports {imff1[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[3]}] set_property PACKAGE_PIN W18 [get_ports {imff1[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[4]}] set_property PACKAGE_PIN U15 [get_ports {imff1[5]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[5]}] set_property PACKAGE_PIN U14 [get_ports {imff1[6]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[6]}] set_property PACKAGE_PIN V14 [get_ports {imff1[7]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[7]}] set_property PACKAGE_PIN V13 [get_ports {imff1[8]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[8]}] set_property PACKAGE_PIN V3 [get_ports {imff1[9]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[9]}] set_property PACKAGE_PIN W3 [get_ports {imff1[10]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[10]}] set_property PACKAGE_PIN U3 [get_ports {imff1[11]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[11]}] set_property PACKAGE_PIN P3 [get_ports {imff1[12]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[12]}] set_property PACKAGE_PIN N3 [get_ports {imff1[13]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[13]}] set_property PACKAGE_PIN P1 [get_ports {imff1[14]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[14]}] set_property PACKAGE_PIN L1 [get_ports {imff1[15]}] set_property IOSTANDARD LVCMOS33 [get_ports {imff1[15]}] ## Clock signal #set_property PACKAGE_PIN W5 [get_ports clk] # set_property IOSTANDARD LVCMOS33 [get_ports clk] # create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk] #set_property PACKAGE_PIN V17 [get_ports {rst}] # set_property IOSTANDARD LVCMOS33 [get_ports {rst}] #set_property PACKAGE_PIN V16 [get_ports {en}] # set_property IOSTANDARD LVCMOS33 [get_ports {en}] #set_property PACKAGE_PIN A14 [get_ports {imf1[0]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[0]}] #set_property PACKAGE_PIN A16 [get_ports {imf1[1]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[1]}] #set_property PACKAGE_PIN B15 [get_ports {imf1[2]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[2]}] #set_property PACKAGE_PIN B16 [get_ports {imf1[3]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[3]}] #set_property PACKAGE_PIN A15 [get_ports {imf1[4]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[4]}] #set_property PACKAGE_PIN A17 [get_ports {imf1[5]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[5]}] #set_property PACKAGE_PIN C15 [get_ports {imf1[6]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[6]}] #set_property PACKAGE_PIN C16 [get_ports {imf1[7]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[7]}] #set_property PACKAGE_PIN K17 [get_ports {imf1[8]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[8]}] #set_property PACKAGE_PIN M18 [get_ports {imf1[9]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[9]}] #set_property PACKAGE_PIN N17 [get_ports {imf1[10]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[10]}] #set_property PACKAGE_PIN P18 [get_ports {imf1[11]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[11]}] #set_property PACKAGE_PIN L17 [get_ports {imf1[12]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[12]}] #set_property PACKAGE_PIN M19 [get_ports {imf1[13]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[13]}] #set_property PACKAGE_PIN P17 [get_ports {imf1[14]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[14]}] #set_property PACKAGE_PIN R18 [get_ports {imf1[15]}] # set_property IOSTANDARD LVCMOS33 [get_ports {imf1[15]}] #set_property PACKAGE_PIN U16 [get_ports {in[0]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[0]}] #set_property PACKAGE_PIN E19 [get_ports {in[1]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[1]}] #set_property PACKAGE_PIN U19 [get_ports {in[2]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[2]}] #set_property PACKAGE_PIN V19 [get_ports {in[3]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[3]}] #set_property PACKAGE_PIN W18 [get_ports {in[4]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[4]}] #set_property PACKAGE_PIN U15 [get_ports {in[5]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[5]}] #set_property PACKAGE_PIN U14 [get_ports {in[6]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[6]}] #set_property PACKAGE_PIN V14 [get_ports {in[7]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[7]}] #set_property PACKAGE_PIN V13 [get_ports {in[8]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[8]}] #set_property PACKAGE_PIN V3 [get_ports {in[9]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[9]}] #set_property PACKAGE_PIN W3 [get_ports {in[10]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[10]}] #set_property PACKAGE_PIN U3 [get_ports {in[11]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[11]}] #set_property PACKAGE_PIN P3 [get_ports {in[12]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[12]}] #set_property PACKAGE_PIN N3 [get_ports {in[13]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[13]}] #set_property PACKAGE_PIN P1 [get_ports {in[14]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[14]}] #set_property PACKAGE_PIN L1 [get_ports {in[15]}] # set_property IOSTANDARD LVCMOS33 [get_ports {in[15]}]