`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// module emd_main (imff1,clk,rst,en); input clk,rst,en; output wire signed [15:0] imff1; wire signed [15:0] re1;//,imf1; reg signed [15:0] in; wire clk_out; clock_divi ccl(clk,clk_out); mod_imf_one mi1 (in,imff1,clk_out,rst,en,re1); parameter SIZE = 1250; reg [15:0] rom_memory [SIZE-1:0]; integer i=0; always @(posedge clk) begin //i=rst?'b0:i+1'b1; //if(i<=16'b1111111111111111) //$readmemh("C:\\Users\\USER\\Desktop\\INPUT.txt",mydata); $readmemh("sine.mem", rom_memory); end always@(posedge clk_out) begin if(rst) begin in = 0; //i=0; end else begin in = rom_memory[i]; i = i+ 1; end end //always @(posedge clk_out) //begin //imff1=imf1; //end //integer file; // //integer result_file; // integer scan_file; // integer i; // //////////////////Initializing the test///////////////// // initial begin // file = $fopen("C:\\Users\\KK-HP\\Desktop\\emd_clean_01_10_2018\\Master_attachments\\Matlab\\inputzz.dat", "r"); //Change the location to the folder containing "test_data.dat" // //result_file = $fopen("C:\\Users\\KK-HP\\Desktop\\emd_clean_01_10_2018\\Master_attachments\\Matlab\\normal.dat", "w"); //Change the location for where to store "results.dat" // //clk<=1; //// rst_n <= 1; // i=0; // //data_in_available<= 0; //// #1 rst_n <= 0; //// #1 rst_n <= 1; // end // always @(posedge clk) //begin endmodule